下载此文档

《只读存储器R》.ppt


文档分类:通信/电子 | 页数:约10页 举报非法文档有奖
1/10
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/10 下载此文档
文档列表 文档介绍
该【《只读存储器R》 】是由【相惜】上传分享,文档一共【10】页,该文档可以免费在线阅读,需要了解更多关于【《只读存储器R》 】的内容,可以使用淘豆网的站内搜索功能,选择自己适合的文档,以下文字是截取该文章内的部分文字,如需要获得完整电子版,请下载此文档到您的设备,方便您编辑和打印。概述只读存储器ROM随机存取存储器RAM可编程逻辑器件PLD第六章LSI存储器与可编程逻辑器件整理ppt一类是通用型的大规模集成电路,它们已被定型为标准化、系列化的器件,如存储器、微处理器、单片计算机等。另一类是专用型的大规模集成电路(ASIC),是针对某种设备或某种特殊用途而专门设计。存储器是数字系统中存储大量信息的部件。其功能是存放不同程序的操作指令及各种需要计算处理的数据。存储器的核心局部是存储体,由假设干个存储单元组成。位、字长、地址存储容量N×M〔字数×字长〕从应用的角度上,LSI分为两大类:;即使断电,已存的信息也不会丧失。,而且能实现任意组合逻辑函数。整理ppt从存储器的角度,只要把逻辑函数的真值表事先存入ROM,便可用ROM实现该函数。具体地说,以真值表中变量取值组合为存储单元的地址,把对应的函数取值作为数据存入该单元中。这样,按地址读出的数据,便是真值表中相应变量取值组合下的函数值。根本原理可从“存储器〞和“与-或逻辑网络〞两个角度来理解。ROM在组合逻辑设计中的应用从与-或逻辑网络的角度,ROM中的地址译码器形成了输入变量的所有最小项,即实现了逻辑变量的“与〞运算。而ROM中的存储矩阵实现了最小项的“或〞运算,即形成各个逻辑函数。整理ppt解:1〕代码转换真值表2〕输出函数的最小项表达式例1用ROM实现四位二进制码到格雷码的转换G3=∑m(8,9,10,11,12,13,14,15)G2=∑m(4,5,6,7,8,9,10,11)G1=∑m(2,3,4,5,10,11,12,13)G0=∑m(1,2,5,6,9,10,13,14)3〕阵列逻辑图整理ppt例2用ROM实现字符发生器以7×(取出)数据或写入(存入)数据。,多采用双译码结构。存储器禁止读/写操作,所有的输入/输出端均为高阻态;RAM芯片被选通,:将8片1024×1位的RAM接成一个1024×8位RAM需将8片RAM并联起来。具体来说,将8片的所有地址线、读/写控制线、片选信号线分别并联在一起,而每一片的I/O端分别作为整个RAM输入/输出数据端的一位。总的存储容量为每一片存储容量的八倍。。例如,用单片256×8位RAM,构成1024×8位RAM整理pptPLD特点:〔1〕集成度高、功能强。用很少的器件完成很强的功能。〔2〕系统设计速度快。由于编程工作由用户完成,不必像全定式电路那样依赖厂家;也不必像用SSI或MSI器件设计系统那样进行复杂的接线和调试,大大缩短了开发周期。〔3〕?设计灵活。不受标准系列器件在逻辑功能上的限制。设计自由度大,利于发挥设计者的创造性。〔4〕本钱低。PLD密度高,每片高达十几万个门。(PLD)整理ppt

《只读存储器R》 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数10
  • 收藏数0 收藏
  • 顶次数0
  • 上传人相惜
  • 文件大小1.40 MB
  • 时间2024-04-18