下载此文档

中值滤波器 设计.doc


文档分类:高等教育 | 页数:约12页 举报非法文档有奖
1/12
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/12 下载此文档
文档列表 文档介绍
中值滤波器_设计学号
EDA技术及应用
设计说明书
中值滤波器设计
起止日期: 2013 年 12 月 16 日至 2013年 12 月 20 日
学生姓名
杨文彬
班级
10电信2班
成绩
指导教师(签字)
计算机与信息工程学院
2013年12月20日
天津城市建设学院
课程设计任务书
2013 —2014 学年第 1 学期
计算机与信息工程学院电子信息科学与技术专业电信2 班级
课程设计名称: EDA技术及应用
设计题目: 中值滤波器设计
完成期限:自 2013 年 12月 16 日至 2013 年 12 月 20 日共 1 周

在掌握常用数字电路原理和技术的基础上,利用EDA技术和硬件描述语言,EDA开发软件(QuartusⅡ)和硬件开发平台(达盛试验箱CycloneⅡFPGA)进行初步数字系统设计。

采用状态机和流水线设计实现对输入数据的中值滤波,数据点数N=9,即依次对9个输入数据的进行处理。串行数据输入、输出速率均为9600Byte/s。提示:中值就是对输入N个数据排队,取中间值作为滤波输出。

要求独立完成设计任务。
课程设计说明书封面格式要求见《天津城市建设学院课程设计教学工作规范》附表1
课程设计的说明书要求简洁、通顺,计算正确,图纸表达内容完整、清楚、规范。
测试要求:根据题目的特点,采用相应的时序仿真或者在实验系统上观察结果。
课设说明书要求:
说明题目的设计原理和思路、采用方法及设计流程。
系统框图、VHDL语言设计清单或原理图。
对各子模块的功能以及各子模块之间的关系作较详细的描述。
详细说明调试方法和调试过程。
说明测试结果:仿真时序图和结果显示图。并对其进行说明和分析。
指导教师(签字):
教研室主任(签字):
批准日期: 2013 年 12 月 12 日
目录
第一章 VHDL与QuartusⅡ 1
VHDL 1
QuartusⅡ 1
第二章中值滤波的基本理论和主要特性 2
2
2
第三章中值滤波器的算法 3
第五章 FPGA设计实现 4
FPGA设计的优势 4
状态机和流水线技术的应用 4
第六章中值滤波器的模块图和结果图 5
第七章程序 7
参考文献 9

第一章 VHDL与QuartusⅡ
VHDL
VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。
VHDL翻译成中文就是超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。
VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。
QuartusⅡ
Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。。
Altera Quartus II ()设计软件是业界唯一提供FPGA和固定功能HardCopy器件统一设计流程的设计工具。工程师使用同样的低价位工具对 Stratix FPGA进行功能验证和原型设计,又可以设计HardCopy Stratix器件用于批量成品。系统设计者现在能够用Quartus II软件评估HardCopy Stratix器件的性能和功耗,相应地进行最大吞吐量设计。
Altera的Quartus II可编程逻辑软件属于第四代PLD开发平台。该平台支持一个工作组环境下的设计要求,的协作设计。Quartus平台与Cadence、ExemplarLogic、 raphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添了FastFit编译选项,推进了网络编辑性能,而且提

中值滤波器 设计 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数12
  • 收藏数0 收藏
  • 顶次数0
  • 上传人mkjafow
  • 文件大小227 KB
  • 时间2018-02-21