下载此文档

自动售货机的设计及仿真.doc


文档分类:汽车/机械/制造 | 页数:约6页 举报非法文档有奖
1/6
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/6 下载此文档
文档列表 文档介绍
自动售货机的设计及仿真任务和要求:自动售货机可销售橡皮()、铅笔(1元)、直尺()、记录本(2元)4种商品,数量无限。只能投入1元或5角硬币,当投入的币值等于或大于商品的价钱且确认购买时,两个输出口分别输出购买的商品和找零,当投币后取消购买,退回投入的硬币,假设零币无限。顾客一次只能购买一种商品的一个,若需要更多商品,需要重复操作。1)基本功能:商品选择;投币购买;出货、找零、退钱。2)显示功能:有两个LED数码管显示已经投入的币值或找零数系统总体设计:基本功能模块:商品选择模块;投币处理模块;、出货、找零、退钱模块。自动售货机控制系统共含4个状态:初始状态、投币状态、出货及找零、退钱状态。1)初始状态(selegoods):表示一次投币销售过程的开始,此状态期间,可选择商品,不允许投币。2)投币状态(incoins):计算并记录投入总币值,此状态期间,允许投币,不允许选择商品。3)出售及找零状态(outgoods_coin):根据选择商品的价格及投入的总币值,决定是否出货及找零。4)退钱状态(back_coin):投币后取消购买,退回投入的硬币。状态转换图如下表示:●商品选择模块:功能:选择一种商品获得此商品的价格。商品选择模块的元件图符商品选择模块的VHDL描述。注意:为了直观显示商品的价格,价格选择integer,进行功能仿真时,选择价格为unsigneddecimal类型。功能仿真波形及说明:说明:当en=1时,选择eraser,此商品的价格为5角,price=5。当en=0时,商品选择模块禁止工作,price保持不变。●投币处理模块计算并记录投入的总币值。投币处理模块的VHDL描述:为了直观显示投入总币值,总币值total选择integer,进行功能仿真时,选择total为unsigneddecimal类型。功能仿真及说明:说明:当en=1时,投入一枚5角、一枚一元、一枚5角硬币,总币值为20即2元。●出售、找零或退零模块(主控制器)注意:状态转换中的IF语句,如果满足条件,转换状态,否则保持原状态。说明:选择商品的价格price为15,投入币值coins

自动售货机的设计及仿真 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数6
  • 收藏数0 收藏
  • 顶次数0
  • 上传人文库旗舰店
  • 文件大小173 KB
  • 时间2019-09-22