下载此文档

电子时钟VHDL程序与仿真.doc


文档分类:通信/电子 | 页数:约7页 举报非法文档有奖
1/7
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/7 下载此文档
文档列表 文档介绍
电子时钟 VHDL 程序与仿真 进制计数器设计与仿真(1) 10 进制计数器 VHDL 程序-- 文件名: 。-- 功能: 10 进制计数器,有进位 C -- 最后修改日期: library IEEE; use ; use ; use ; entity counter10 is Port ( clk : in std_logic; reset : in std_logic; din : in std_logic_vector(3 downto 0); dout : out std_logic_vector(3 downto 0); c:out std_logic); end counter10; architecture Behavioral of counter10 is signal count : std_logic_vector(3 downto 0); begin dout <= count; process(clk,reset,din) begin if reset='0'then count <= din ; c<='0'; elsif rising_edge(clk) then if count = "1001" then count <= "0000"; c<='1'; else count <= count+1; c<='0'; end if; end if; end process; end Behavioral; (2) 10 进制计数器仿真 进制计数器设计与仿真(1)6 进制计数器 VHDL 程序-- 文件名: 。-- 功能: 6 进制计数器,有进位 C -- 最后修改日期: library IEEE; use ; use ; use ; entity counter6 is Port ( clk : in std_logic; reset : in std_logic; din : in std_logic_vector(2 downto 0); dout : out std_logic_vector(2 downto 0); c:out std_logic); end counter6; architecture Behavioral of counter6 is signal count : std_logic_vector(2 downto 0); begin dout <= count; process(clk,reset,din) begin if reset= '0' then count <= din; c<='0'; elsif rising_edge(clk) then if count="101" then count<="000"; c<='1'; else

电子时钟VHDL程序与仿真 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数7
  • 收藏数0 收藏
  • 顶次数0
  • 上传人luyinyzhi
  • 文件大小0 KB
  • 时间2016-04-13