下载此文档

带有复位和时钟使能的10进制计数器和带有并行置位的移位寄存器.doc


文档分类:通信/电子 | 页数:约5页 举报非法文档有奖
1/5
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/5 下载此文档
文档列表 文档介绍
实验报告实验课程名称 EDA 技术实验带有复位和时钟使能的 10 进制计数器和实验项目名称带有复位和时钟使能的 10 进制计数器年级 21010 级专业电子信息工程学生姓名蒋林萍学号 1020040442 科技学院实验时间: 2012 年 11月 28日 EDA 技术实验报告贵州大学科技学院电子信息工程 2010 级蒋林萍学号: 1020040442 实验名称: 带有复位和时钟使能的 10进制计数器和带有并行置位的移位寄存器 1、实验目的(1)熟悉进程和 VARIABLE 的运用(2)学****移位寄存器的设计方法(3)进一步熟悉 VHDL 语法、语句 2 、实验内容(1)运用 Quartus II集成环境下的 VHDL 文本设计方法设计带有复位和时钟使能的十进制计数器,要求对输入的脉冲信号进行计数。要求具有复位和使能功能, 并能输出进位信号。进行波形仿真和分析。(2)运用 Quartus II集成环境下的 VHDL 文本设计方法设计带有并行置位的移位寄存器,要求具有置位功能。进行波形仿真和分析。 3、实验步骤及参考程序(1) 带有复位和时钟使能的 10进制计数器程序代码如下: LIBRARY IEEE; USE ; USE ; T10 IS PORT(CLK,RST,EN:IN STD_LOGIC; CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT:OUT STD_LOGIC); T10; ARCHITECTURE behav T10 IS BEGIN PROCESS(CLK,RST,EN) VARIABLE CQI:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF RST='1' THEN CQI:=(OTHERS=>'0'); ELSIF CLK'EVENT AND CLK='1' THEN IF EN='1' THEN IF CQI<"1001" THEN CQI:=CQI+1; ELSE CQI:=(OTHERS=>'0'); END IF; END IF; END IF; IF CQI="1001" THEN COUT<='1'; ELSE COUT<='0'; END IF; CQ<=CQI; END PROCESS; END behav; ①. 实验程序功能分析: 当时钟信号 CLK 、复位信号 RST 或时钟使能信号 EN 中任一信号发生变化,都将启动 PROCESS 进程语句。此时如果 RST 为1, 将对计数器进行清零, 即复位, 这项操作独立于 CLK , 因而称为异步; 如果 RST 为0, 则看时钟信号上升沿; 如果此时有 CLK 信号, 又测得 EN=1 , 即允许计数器计数, 此时若满足计数值小于 1001 ,即 CQI<9 , 计数器将进行正常计数,即执行语句 CQI:=CQI+1, 否则对 CQI 清零;但如果测得 EN=0 ,则跳出 IF 语句, 使 CQI 保持原值, 并将计数值向端口输出: CQ<=CQI. 第二个 IF 语句的功能是当计数器 CQ I 的计数值达到 9 时,输出高电平,作为十进制计数的进位溢出信号 COUT ,而当 CQI 为其他值时,输

带有复位和时钟使能的10进制计数器和带有并行置位的移位寄存器 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数5
  • 收藏数0 收藏
  • 顶次数0
  • 上传人yixingmaob
  • 文件大小740 KB
  • 时间2017-02-20