EDA工具软件使用初步及硬件描述语言入门.ppt


文档分类:IT计算机 | 页数:约33页 举报非法文档有奖
1/33
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/33
文档列表 文档介绍
EDA 工具软件使用初步及硬件描述语言入门什么是 EDA 技术 EDA(Electronic Design Automation) 自底向上的设计方法自顶向下的设计方法举例:三人表决器 EDA 技术包含三个方面 EDA(Electronic Design Automation) EDA 工具软件设计输入方法:硬件描述语言物理基础:可编程逻辑器件 EDA 设计流程应用于 FPGA/CPLD 的 EDA 开发流程 EDA 软件 QuartusII 简介 Quartus II 设计流程设计流程演示什么是 VHDL ? ? Very high speed integrated Hardware Description Language (VHDL) ?是 IEEE 、工业标准硬件描述语言?用语言的方式而非图形等方式描述硬件电路?容易修改?容易保存?特别适合于设计的电路有: ?复杂组合逻辑电路,如: ?译码器、编码器、加减法器、多路选择器、地址译码器…... ?状态机?等等…….. VHDL 的功能和标准? VHDL 描述?输入端口?输出端口?电路的行为和功能? VHDL 有过两个标准: ? IEEE Std 1076-1987 (called VHDL 1987) ? IEEE Std 1076-1993 (called VHDL 1993) 【例 5-1 】 ENTITY mux21a IS PORT( a, b : IN BIT ; s : IN BIT; y : OUT BIT ) ; END ENTITY mux21a ; ARCHITECTURE one OF mux21a IS BEGIN y <= a WHEN s = '0' ELSE b ; END ARCHITECTURE one ; 实体结构体举例:多路选择器 VHDL 描述 mux21a 实体 mux21a 结构体例1: 2 选1多路选择器的 VHDL 描述 2选1多路选择器的 VHDL 描述 ENTITY mux21a IS PORT ( a, b : IN BIT; s : IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS SIGNAL d,e : BIT; BEGIN d <= a AND (NOT S) ; e <= b AND s ; y <= d OR e ; END ARCHITECTURE one ; . . . ARCHITECTURE one OF mux21a IS BEGIN y <= (a AND (NOT s)) OR (b AND s) ; END ARCHITECTURE one;

EDA工具软件使用初步及硬件描述语言入门 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数33
  • 收藏数0 收藏
  • 顶次数0
  • 上传人xxj16588
  • 文件大小667 KB
  • 时间2017-02-20