下载此文档

基于fpga的数字电压表的设计与实现.doc


文档分类:通信/电子 | 页数:约44页 举报非法文档有奖
1/44
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/44 下载此文档
文档列表 文档介绍
基于FPGA的数字电压表的设计与实现
DESIGN AND IMPLEMENTATION OF
DIGITAL VOLTAGE METER BASED ON FPGA
专业:电子信息工程
姓名:
指导教师:
申请学位级别: 学士
论文提交日期:
学位授予单位:
摘要
数字电压表简称DVM,是一种通过采用数字化测量技术,把连续的模拟量(直流输入电压)转换成离散的、不连续的数字形式,并将转化结果加以显示的仪表。
本设计研究的电压表主要的设计核心是Xilinx 公司的Vritex-4 FPGA器件,主要分为下面几部分:数据处理模块实现TVL571数字量对应BCD码的变换和处理;显示控制模块实现LED段码的产生。显示部分要求在三个七段数码管上显示电压值,要求保留小数点后两位数字。,用VHDL语言编写数字电压表各模块的功能并进行调试与下载到FPGA板上进行验证。
关键词:VHDL;数字电压表;FPGA;A/D;Xilinx;
ABSTRACT
Digital voltmeter is a voltage measuring instruments that is referred to as DVM and it is mon in intelligent use digital measurement technology and make the continuous analog (DC input voltage) into discontinuous, discrete digital form and display on LED or LCD.
The design of this voltmeter main core is the Xilinx's Vritex-4FPGA voltmeter mainly divided into the following sections: data processing module is mainly depend on the TLV571 and based on this AD realizing the transforming BCD between digital measurement;dispay module realiazed the producing of the part code. The voltmeter requires the ability to display the measured voltage value in three seven-segment digital tube, retaining two significant figures after the decimal point. The software of the design is pany’s EDA development environment and using the VHDL language to write the function of the voltmeter’s each module and the program to the the FPGA board to verify the result.
Key words:VHDL;volmeter;FPGA;A/D;Xilinx;
目录
(目录页空着,我统一调整)
1 绪论 1
数字电压表的研究背景 1
数字电压表的的研究意义 1
国内外研究概况及应用前景 2
2 系统的软件开发环境 4
Xilinx公司的ISE工具软件 4
软件界面 4
设计流程 5
波形仿真流程 6
硬件描述语言VHDL 7
VHDL语言简介 7
VHDL语言的特点优势 8
设计中用到的语言结构 8
3 系统的硬件组成 13
EDA技术概述 13
现场可编程门阵列FPGA 15
SEED-XDTK-V4实验平台 19
Vritex-4系列器件结构和特性 19
CPLD功能模块 20
数码管 20
ADTLV571模块 21
4 数字电压表的设计 24
系统的整体设计方案 24
模数转换控制模块 24
数字电压转BCD模块 25
数码管显示模块

基于fpga的数字电压表的设计与实现 来自淘豆网www.taodocs.com转载请标明出处.