下载此文档

并行口及其应用.ppt


文档分类:论文 | 页数:约29页 举报非法文档有奖
1/29
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/29 下载此文档
文档列表 文档介绍
并行口及其应用
第1页,共29页,2022年,5月20日,7点40分,星期三
P0端口的结构与功能
P0口的一位结构图
P0口除可以作为通用的8位I/O口外,当进行外部存储器的扩展时,还可以将其作为分时复用的低8位地址/数据STTL输入,而P1、P2、P3口每一个I/O口可驱动4个LSTTL输入。在使用时应注意口的驱动能力。
*
第12页,共29页,2022年,5月20日,7点40分,星期三
IO口应用——输出
输出:通过改变P0-P3四个寄存器对应位的数值,使输出端三极管(MOS管)打开或关闭,改变输出管脚的状态(高电平或低电平),进而控制外围电路的工作。
*
第13页,共29页,2022年,5月20日,7点40分,星期三
LED简介
LED简介
LED(发光二极管)是最基本的输出显示装置之一,通过LED可以直观地看出控制系统状态,如按键的闭合与断开、电机的启动与停止等,另外LED还可以用于制作彩灯。LED具有普通二极管的单向导电性。只要加在发光二极管两端的电压超过导通电压(~),它就会导通,而当流过它的电流时间超过一定数值时(一般为2ms~3ms),它就会发光。
I/O口应用实例与仿真
*
第14页,共29页,2022年,5月20日,7点40分,星期三
I/O口的实例仿真
闪烁灯的proteus仿真及C语言程序设计
设计要求:,,使L1周期性地一亮一灭,。
闪烁灯的仿真电路原理图 ()
元器件选取
①AT89C52:单片机;②RES:电阻;③CRYSTAL:晶振; ④CAP、CAP-ELEC:电容、电解电容⑤LED-GREEN:绿色发光二极管
程序设计内容
延时程序的设计方法
输出控制
限流电阻的选取
I/O口应用实例与仿真
*
第15页,共29页,2022年,5月20日,7点40分,星期三
I/O口的实例仿真
程序流程图
C语言源程序
调试与仿真
开始
“1”
L1熄灭

“0”
L1亮

I/O口应用实例与仿真
*
第16页,共29页,2022年,5月20日,7点40分,星期三
IO口应用
输入:通过外围电路(如开关)改变P0-P3端口的电平,读取P0-P3四个寄存器对应位的数值,可以得知外围电路的状态(开关的打开或闭合)。
上拉电阻的选择要参照
管脚的最大输入电流,一般
在1K以上。
*
第17页,共29页,2022年,5月20日,7点40分,星期三
I/O口的实例仿真
模拟开关灯的proteus仿真及C语言程序设计
设计要求 :,监视开关K1(),用发光二极管L1()显示开关状态,如果开关合上,L1亮,开关打开,L1熄灭。
模拟开关灯的仿真电路原理图 ()
元器件选取
①AT89C52:单片机;②RES:电阻;③CRYSTAL:晶振; ④CAP、CAP-ELEC:电容、电解电容;⑤LED-GREEN:绿色发光二极管;⑥SWITCH:开关
I/O口应用实例与仿真
*
第18页,共29页,2022年,5月20日,7点40分,星期三
I/O口的实例仿真
程序设计内容
开关状态的检测过程
输出控制
程序流程图
C语言源程序
调试与仿真
开始
K1开关闭合了吗?
L1亮
L1灭
I/O口应用实例与仿真
*
第19页,共29页,2022年,5月20日,7点40分,星期三
灌电流与拉电流
当逻辑门输出端是低电平时,灌入逻辑门的电流称为灌电流 ,灌电流越大,输出端的低电平就越高。
当逻辑门输出端是高电平时,逻辑门输出端的电流是从逻辑门中流出,这个电流称为拉电流。拉电流越大,输出端的高电平就越低。
灌电流和拉电流反应了管脚的带负载能力,灌电流比拉电流大,因此灌电流带负载能力强。
限流电阻的选择要根据灌电流、拉电流的大小进行选择。
*
第20页,共29页,2022年,5月20日,7点40分,星期三
大功率负载驱动
当驱动大电流负载,或驱动高电压负载时,需要采用以下的电路形式。
当驱动强电电路时,弱电电源与强电电源需要隔离,此时需要使用光电隔离器件。
*
第21页,共29页,2022年,5月20日,7点40分,星期三
*
第22页,共29页,2022年,5月20日,7点40分,星期三
高电压光电隔离输入
*
第23页,共29页,2022年,5月20日

并行口及其应用 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数29
  • 收藏数0 收藏
  • 顶次数0
  • 上传人卓小妹
  • 文件大小1.85 MB
  • 时间2022-08-07
最近更新