下载此文档

VHDL程序练习题(含答案).doc


文档分类:IT计算机 | 页数:约35页 举报非法文档有奖
1/35
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/35 下载此文档
文档列表 文档介绍
VHDL程序填空题
(一) 在下面横线上填上合适的VHDL关键词,完成2选1多路选择器的设计。
LIBRARY IEEE;
USE ;
1 MUX21 IS
PORT(SEL:IN STD_LOGIC;
A,B:IN STD_LOGIC;
Q: OUT STD_LOGIC );
END MUX21;
2 BHV OF MUX21 IS
BEGIN
Q<=A WHEN SEL=’1’ ELSE B;
END BHV;
(二) 在下面横线上填上合适的语句,完成BCD-7段LED显示译码器的设计。
LIBRARY IEEE ;
USE ;
ENTITY BCD_7SEG IS
PORT( BCD_LED : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
LEDSEG : OUT STD_LOGIC_VECTOR(6 DOWNTO 0));
END BCD_7SEG;
ARCHITECTURE BEHAVIOR OF BCD_7SEG IS
BEGIN
PROCESS(BCD_LED)
3
IF BCD_LED="0000" THEN LEDSEG<="0111111";
ELSIF BCD_LED="0001" THEN LEDSEG<="0000110";
ELSIF BCD_LED="0010" THEN LEDSEG<= 4 ;
ELSIF BCD_LED="0011" THEN LEDSEG<="1001111";
ELSIF BCD_LED="0100" THEN LEDSEG<="1100110";
ELSIF BCD_LED="0101" THEN LEDSEG<="1101101";
ELSIF BCD_LED="0110" THEN LEDSEG<="1111101";
ELSIF BCD_LED="0111" THEN LEDSEG<="0000111";
ELSIF BCD_LED="1000" THEN LEDSEG<="1111111";
ELSIF BCD_LED="1001" THEN LEDSEG<="1101111";
ELSE LEDSEG<= 5 ;
END IF;
END PROCESS;
END BEHAVIOR;
(三) 在下面横线上填上合适的语句,完成数据选择器的设计。
LIBRARY IEEE;
USE ;
ENTITY MUX16 IS
PORT( D0, D1, D2, D3: IN STD_LOGIC_VECTOR(15 DOWNTO 0);
SEL: IN STD_LOGIC_VECTOR( 6 DOWNTO 0);
Y: OUT STD_LOGIC_VECTOR(15 DOWNTO 0));
END;
ARCHITECTURE ONE OF MUX16 IS
BEGIN
WITH 7 SELECT
Y <= D0 WHEN "00",
D1 WHEN "01",
D2 WHEN "10",
D3 WHEN 8 ;
END;
(四) 在下面横线上填上合适的语句,完成JK触发器的设计。
说明:设计一个异步复位/置位JK触发器,其真值表如下:
INPUT
OUTPUT
PSET
CLR
CLK
J
K
Q
0
1
X
X
X
1
1
0
X
X
X
0
0
0
X
X
X
不定
1
1
上升沿
0
1
0
1
1
上升沿
1
0
1
1
1
上升沿
1
1
翻转
1
1
上升沿
0
0
保持
LIBRARY IEEE;
USE ;
ENTITY JKFF1 IS
PORT (PSET,CLR,CLK,J,K : IN STD_LOGIC;
Q : OUT STD_LOGIC);
END JKFF1;
ARCHITECTURE MAXPLD OF JKFF1 IS
SIGNAL TEMP:STD_LOGIC;
BEGIN
PROCESS(PSET,CLR,CLK)
BEGIN
IF (PSET='0'AND CLR='1' ) THEN TEMP<='1';
ELSIF (PSET='1'AND CLR='0' ) THEN TEMP<='0';
ELSIF (PSET='0'AND

VHDL程序练习题(含答案) 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数35
  • 收藏数0 收藏
  • 顶次数0
  • 上传人taotao0a
  • 文件大小1.27 MB
  • 时间2017-07-24