下载此文档

FPGA实验报告.doc


文档分类:高等教育 | 页数:约11页 举报非法文档有奖
1/11
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/11 下载此文档
文档列表 文档介绍
FPGA实验上机报告

实验二
Part1代码
LIBRARY ieee;
USE ;
ENTITY part1 IS
PORT(SW :IN STD_LOGIC_VECTOR(17 DOWNTO 0);
LEDR :OUT STD_LOGIC_VECTOR(17 DOWNTO 0));
END part1;
ARCHITECTURE Behavior OF part1 IS
BEGIN
LEDR<=SW;
END Behavior;
运行结果:拨码开关控制LED闪亮
Nice 代码
LIBRARY ieee;
USE ;
ENTITY nice IS
PORT(SW :IN STD_LOGIC_VECTOR(0 TO 17);
HEX0 :OUT STD_LOGIC_VECTOR(0 TO 6);
LEDR :OUT STD_LOGIC_VECTOR(0 TO 17));
END nice;
ARCHITECTURE Behavior OF nice IS
SIGNAL temp :STD_LOGIC_VECTOR(0 TO 3);
BEGIN
LEDR<=SW;
temp(3)<=SW(0);
temp(2)<=SW(1);
temp(1)<=SW(2);
temp(0)<=SW(3);
PROCESS(temp)
BEGIN

CASE temp IS
WHEN "0000"=>HEX0<="1001111";
WHEN "0001"=>HEX0<="0010010";
WHEN "0010"=>HEX0<="0000110";
WHEN "0011"=>HEX0<="1101100";
WHEN "0100"=>HEX0<="1001100";
WHEN "0101"=>HEX0<="0100100";
WHEN "0110"=>HEX0<="0100000";
WHEN "0111"=>HEX0<="0001111";
WHEN "1000"=>HEX0<="0000000";
WHEN "1001"=>HEX0<="0000100";
WHEN OTHERS=>HEX0<="1001000";
END CASE;
END PROCESS;
END Behavior;
运行结果:拨码开关控制数码管显示数字

(1):
LIBRARY IEEE;
USE ;
USE ;
-----This is a simple watch with sec/min/hour display in DE2 broad
-----SW(0) is the reset input, when SW(0)=1,the program runs.
ENTITY watch IS
PORT(SW: IN STD_LOGIC_VECTOR(17 DOWNTO 0);
clk_50:IN STD_LOGIC;
HEX0:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
HEX1:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
HEX2:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
HEX3:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
HEX4:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
HEX5:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
HEX6:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
HEX7:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));
END watch;
--------------------------------------------------
ARCHITECTURE rtl OF watch IS
COMPONENT sec_clk IS -----create a clk signal 1Hz frequency
PORT(clk_50 :IN STD_LOGIC;
clk_div1 :OUT STD_LOGIC);
PONENT;
COMPONENT count4 IS -----s

FPGA实验报告 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数11
  • 收藏数0 收藏
  • 顶次数0
  • 上传人联系
  • 文件大小2.48 MB
  • 时间2017-08-28