下载此文档

Maxplus_II教程课件.ppt


文档分类:外语学习 | 页数:约135页 举报非法文档有奖
1/135
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/135 下载此文档
文档列表 文档介绍
MAX+PLUS II学****课件(中文版)
Max+Plus II用户 使用入门指南
6/24/2017
1
MAX+PLUS II学****课件目录
第一章 Max+PlusII的功能及系统要求
第二章 Max+PlusII的安装向导
第三章 Max+PlusII的设计流程
第四章图形输入方法的设计过程
第五章工具条和常用菜单选项说明
第六章图形的层次化设计及BUS使用
第七章硬件语言描述输入法
第八章混合设计输入法
第九章基于LPM的设计功能
第十章应用中常见错误及处理方法
6/24/2017
2
第一章 Max+PlusII的功能及系统要求
Altera公司的MAX+PLUS II 软件是最易学、最易用的可编程逻辑器件开发软件。其界面友好,集成化程度高,包含设计综合仿真等。
返回目录
6/24/2017
3
+plus II
独立的运行环境
设计输入
设计编译
验证和编程
EDIF
LPM
及其他
EDIF
Verilog
VHDL
SDF
标准 EDA
设计输入:
标准的 EDA
设计验证方式:
Cadence
Mentor Graphics
Logic Modelling
Synopsys
Viewlogic
其他方式
Cadence
Mentor Graphics
OrCAD
Synopsys
Viewlogic
其他输入方式
MAX+PLUS II 编译器
图形
设计输入
文本设计输入
(AHDL, VHDL, Verilog HDL)
波形设计输入
Design Entry
分层
设计输入
版图
编辑
设计规则检查
逻辑综合
装入器件
多器件划分
自动错误定位
定时驱动编译
定时仿真
功能仿真
多器件仿真
定时分析
器件编程
6/24/2017
4
+plus II
支持的器件 所支持的器件有:ACEX1K,EPF10K10, EPF10K10A, EPF10K20,EPF10K30A以及MAX® 7000系列(含MAX7000A, MAX7000AE, MAX7000E, MAX7000S),EPM9320, EPM9320A, EPF8452A, EPF8282A,FLEX 6000/A 系列,MAX 5000系列,ClassicTM系列。
设计输入 常用的设计输入方法有: 通过图形编辑器,创建图形设计文件(.gdf); 通过文本编辑器,使用AHDL语言,创建文本编辑文件(.tdf);使用VHDL语言,创建文本设计文件( .vhd);使用Verilog HDL语言,创建文本设计文件(.v)。通过波形编辑器,创建波形设计文件(.wdf)等。
6/24/2017
5
+plus II
MAX+PLUS II
的图形编辑器
MAX+PLUS II
的文本编辑器
MAX+PLUS II
的符号编辑器
MAX+PLUS II
的版图编辑器
顶层文件
.gdf
顶层设计文件可以是下列格式: .gdf, .tdf, .vhd, .sch,
.wdf
.vhd
.v
.sch
.edf
.xnf
图形
文件
波形
文件
文本
文件
图形
文件
文本
文件
文本
文件
从其他 EDA
工具输入
OrCAD
Synopsys,
ViewLogic,
Mentor Graphics,
等厂商的EDIF文件
Xilinx
MAX+PLUS II
自身产生
VHDL/Verilog
波形输入
图形输入
.tdf
文本
文件
AHDL
设计输入文件描述图
6/24/2017
6
+plus II
设计输入总结图
设计文件
支持文件
MAX+PLUS II
图形编辑器
MAX+PLUS II
文本编辑器
MAX+PLUS II
符号编辑器
MAX+PLUS II
波形编辑器
.gdf
.tdf
.vhd
.sch
.edf
.xnf
MAX+PLUS II
第三方 EDA
工具
.sym
.inc
用户
.wdf
.lmf
6/24/2017
7
+plus II
设计编译通过MAX+plusⅡ编译器,可检查项目是否有错,并对项目进行逻辑综合,然后配置到一个ALTERA器件中,同时产生报告文件、编辑文件和用于时间仿真的输出文件。
设计校验 通过MAX+plusⅡ的定时分析器进行时序分析、功能仿真、时序仿真和波形分析,生成一些标准文件为其他EDA工具使用。
器件编程(Programming)和

Maxplus_II教程课件 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数135
  • 收藏数0 收藏
  • 顶次数0
  • 上传人实用文库
  • 文件大小0 KB
  • 时间2015-04-10