下载此文档

第5次课 译码器.数据选择器.pptx


文档分类:高等教育 | 页数:约93页 举报非法文档有奖
1/93
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/93 下载此文档
文档列表 文档介绍
第5次课_译码器.数据选择器清华大学计算机系
陶品
******@tsinghua.
办公室:FIT 3-531 (6277 2129 )
数字逻辑电路 (2013级本科生课程)
第三章组合逻辑电路
2
Combinational Logic Circuit
第三章组合逻辑电路
3
引言
门电路
常用的中规模组合逻辑电路
运算器与ALU
组合逻辑电路中的竞争与冒险问题
常用的中规模组合逻辑电路
4
译码器
编码器
数据选择器
数据比较器
运算器(算数逻辑单元 ALU)
奇偶校验器

译码器(1)
5
译码器的功能分类:
变量译码器:用来表示输入变量状态的全部组合
N位输入,2N输出,
常见的集成化译码器有2-4、3-8、4-16
码制译码器:如8421码变换为循环码等
显示译码器:控制数码管显示
译码器(2)
6
2-4变量译码器
(步骤一)定义:2-4译码器是指2输入-4输出的变量译码器。2输入,,唯一只有一个输出为“0”.
真值表
1 1 1 0
1 1
1 1 0 1
0 1
1 0 1 1
1 0
0 1 1 1
0 0
Y0 Y1 Y2 Y3
A B
输入
输出
译码器(3)
7
2-4译码器
(步骤二)根据真值表写出输出表达式
真值表
1 1 1 0
1 1
1 1 0 1
0 1
1 0 1 1
1 0
0 1 1 1
0 0
Y0 Y1 Y2 Y3
A B
输入
输出
只用与非门实现
Y0
Y1
Y2
Y3
A
B
逻辑示意图
输出表达式
译码器(4)
8
2-4译码器
(步骤三)按照输出表达式画出逻辑图
输出表达式
A
B
Y0
Y1
Y2
Y3
有没有什么问题?
译码器(5)
9
A
B
Y0
Y1
Y2
Y3
问题:一个输入有3个负载!
译码器(6)
10
2-4译码器
(步骤四)检查可能出现的问题,并修正设计
集成电路的设计原则:一个输入只能按照一个输入负载计算。
Y0
Y1
Y2
Y3
解决办法:增加一级输入缓冲
A
B
A’
B’

第5次课 译码器.数据选择器 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数93
  • 收藏数0 收藏
  • 顶次数0
  • 上传人分享精品
  • 文件大小1.64 MB
  • 时间2017-11-23