下载此文档

《数字逻辑》第7章时序逻辑电路.pptx


文档分类:通信/电子 | 页数:约208页 举报非法文档有奖
1/208
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/208 下载此文档
文档列表 文档介绍
数字逻辑
北航计算机学院
艾明晶牛建伟
******@buaa.
******@buaa.
2
第7章时序逻辑电路
本章介绍时序逻辑电路的描述方法和分析方法,具体介绍FSM、寄存器、移位寄存器、计数器等常用时序逻辑电路的工作原理、逻辑功能及使用方法,时序逻辑电路和数字系统的HDL设计方法。
概述
有限状态机
数码寄存器和移位寄存器
计数器
基于Verilog HDL的时序逻辑电路设计
共8学时
3
本章重点
时序逻辑电路的描述方法和分析方法;
有限状态机FSM的HDL设计方法;
常用时序逻辑电路的工作原理、逻辑功能及使用方法;
基于Verilog HDL的时序逻辑电路设计方法。
4
概述
时序逻辑电路的描述方法
时序逻辑电路的分析方法
内容概要
5
时序逻辑电路的描述方法
数字逻辑
组合逻辑——由门电路构成,没有存储电路和反馈电路
时序逻辑——由组合逻辑电路和存储电路构成
程序逻辑——由控制电路(硬件)和程序数据(软件)构成
可编程逻辑——由用户定制构成各种类型的电路
按存储单元状态改变的特点分类
同步时序逻辑电路: 构成时序逻辑电路的各级触发器受一个系统时钟统一控制。
异步时序逻辑电路: 构成时序逻辑电路的各级触发器可以有各自的时钟信号,不受系统时钟统一控制。
脉冲异步电路:记忆元件是触发器,电路的输入是脉冲信号
电位异步电路:记忆元件由带反馈的门电路组成,电路的输入是电平信号
按输出信号的特点分类
摩尔(Moore)型——时序电路的输出信号仅与电路当前状态有关
米里(Mealy)型——时序电路的输出信号与电路当前状态及输入信号有关
按时序电路的逻辑功能分类
数码寄存器,移位寄存器,计数器
6
时序逻辑电路分类
7
时序逻辑电路的描述方法
时序逻辑电路可以用逻辑关系表达式(方程组)来描述。
X为电路的输入,Y为电路的输出, Q为存储电路的输出,式()称为输出方程:电路输出端的逻辑表达式;
Z为存储电路的输入,式()称为驱动方程(激励方程):构成存储电路的触发器输入端的表达式;
式()称为状态方程:表示触发器的状态变化特性,由驱动方程代入触发器的特性方程得到。
X0
X1
Xi-1
Y0
Y1
Yj-1
组合逻辑电路
存储电路
Q0

Ql-1
Z0

Zk-1
其他描述方法:状态转换表、状态转换图、时序图
同步时序逻辑电路举例1
+
X
CP
Y
D
Q
Q
组合逻辑电路
存储电路
输出方程:Y=XQn
驱动(激励)方程:
D=XQn+XQn=XQn
D FF特性方程:
Qn+1=D
8
状态(特征)方程:
Qn+1=XQn+XQn=XQn
同步时序逻辑电路举例2
9
Y=AQ1nQ2nAQ1nQ2n
=AQ1nQ2n+AQ1nQ2n
D2=AQ1nQ2n
D1=Q1n
输出方程:
驱动方程::
同步时序逻辑电路: 有一个公共的时钟信号,电路中各记忆元件受它统一控制。只有该时钟信号到来时,记忆元件的状态才能发生变化,从而使时序电路的输出发生变化。
每来一次时钟信号,记忆元件的状态和时序电路的输出才可能变化一次。
Q2n+1=AQ1nQ2n
Q1n+1=Q1n
状态方程::
.
脉冲异步电路举例
时钟方程: CP0 = CP2= CP CP1=Q0
K0
Q0
Q0
J0
K0
Q0
J1
K1
Q1
Q1
J2
K2
Q2
Q2
.
CP
驱动方程:
J0=Q2n J1=Q0n J2=Q1nQ0n
K0=1 K1=1 K2=1
状态方程:
Q1n+1=Q1nQ0n (Q0 )
Q2n+1=Q2nQn1Q0n (CP )
Q0n+1=Q2nQ0n (CP)
10

《数字逻辑》第7章时序逻辑电路 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数208
  • 收藏数0 收藏
  • 顶次数0
  • 上传人autohww
  • 文件大小3.54 MB
  • 时间2017-12-08