下载此文档

LED猜盘游戏.doc


文档分类:IT计算机 | 页数:约20页 举报非法文档有奖
1/20
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/20 下载此文档
文档列表 文档介绍
课程设计说明书
课程设计名称: 数字电路课程设计
课程设计题目: LED猜盘游戏
学院名称: 信息工程学院
专业: 班级:
学号: 姓名:
评分: 教师:
20 15 年月日
脉冲数字电路课程设计任务书
20 14 -20 15 学年第一学期第 1 周- 3周
题目
LED猜盘游戏
内容及要求
系统有10个LED组成***,开始前,先猜测某个LED,然后按下按钮,LED高速旋转,然后速度逐渐变慢,最后停下,若最后停在所猜测的LED灯上,则为赢。
进度安排
第1周周一至第1周周五:查资料,完成原理图设计及仿真;
第2周周一至第2周周五:完成系统的制作、调试;
第3周:制作结果检查,撰写报告。
学生姓名:
指导时间 2014年9月9至14日
指导地点: E610
任务下达
2014 年 9 月 7 日
任务完成
2014 年 9 月 25 日
考核方式
□ □ □ □
指导教师
系(部)主任
注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。
2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。
摘要
LED猜盘游戏转盘游戏是一个比较考验运气的游戏,它表现了事件的随机性,人们根据自己的选择猜测,然后通过转盘开始旋转直至停下,来验证猜测是否准确。现在猜盘游戏广泛用于各种中奖活动,甚至***都是猜盘游戏的原理。
本次设计中,采用模块化设计方案利用NE555定时器构成多谐振荡器,CD4510、CD4028芯片计数译码电路模块,LED灯显示结果。开启开关后10盏LED灯高速旋转最后停止在某一盏灯上。
关键词:時钟震荡,脉冲,循环,计数,译码
目录
前言 1
第一章系统设计方案选择 2
方案一 2
2
方案确定 3
第二章系统组成及工作原理 4
总体设计思路 4
电路各模块设计 4
4
5
6
6
第三章参数设计 8
8
多谐振荡器周期参数 8
8
第四章电路的焊接与调试 9
9
电路调试 9
小结 11
参考文献 12
附录一实物图 13
附录二 PCB板图 14
附录三元件清单..................................................................................................14
前言
科技是第一生产力,科技发展了,人民生活才能提高。猜盘游戏以前是由人工旋转转盘,最后决定成功与否。现在可以发展了,猜盘游戏也已经升级成芯片控制。随着现在人们生活质量提高,各种抽奖活动层出不穷。所以就有了LED猜盘游戏。
本次课程设计项目LED猜盘游戏,通过合理使用芯片,运用数字电路知识。理论与实践相结合,完成一个由芯片控制,可以正转,反转,翻转的LED猜盘游戏。
拟采用方案如下:
方案一:使用两个555芯片,第一个555芯片为单稳态触发器产生脉冲。第二个555芯片为多谐振荡器产生方波。10盏LED灯按照顺序点亮。关掉开关后LED灯闪烁速度逐渐变慢,最后停止。根据事先选中的灯与最后结果判断输赢。
方案二:使用一个555芯片作为多谐振荡器产生方波,CD4510同步加减计数器与CD4028译码器并添加了一个双D触发器CD4013,用于改变计数器的加、减计数。选中某盏灯之后,将该灯下方开关拨下,然后开启总开关。LED灯按顺序点亮,关掉开关后,LED灯速度逐渐变慢,最后停止。若猜中,则指示灯亮起,为赢。若未猜中,则指示灯不亮,为输。
第一章系统设计方案选择
方案一
该方案分为四部分第一部分采用NE555构成单稳触发器。第二部分采用NE555构成的多谐振荡器。第三部分采用CD4017实现脉冲分配。第四部分采用LED实现结果显示。
方案一实现功能仿真图

方案二采用CD4510同步加减计数器与CD4028译码器并添加了一个双D触发器CD4013,用于改变计数器的加、减计数。开关开启后,电容充电,555芯片产生矩形波,经计数译码后,使得10个发光二极管顺序发光。断开开关后,充放电电容开始放电,使各个芯片逐渐停止工作。高速转动的LED灯慢慢变慢直至停止在某盏灯。
方案二实现功能仿真图
方案确定
可以看出,两种方案的脉冲分配端是一样的,差别在

LED猜盘游戏 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数20
  • 收藏数0 收藏
  • 顶次数0
  • 上传人86979448
  • 文件大小659 KB
  • 时间2018-01-02