下载此文档

4位十进制频率计的设计 计算机与电子等专业课程设计.doc


文档分类:通信/电子 | 页数:约14页 举报非法文档有奖
1/14
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/14 下载此文档
文档列表 文档介绍
4位十进制频率计的设计
主要指标和要求
设计4位十进制频率计,学****较复杂的数字系统设计方法;
深入学****数字系统设计的方法与步骤;
用元件例化语句写出频率计的顶层文件;
用VHDL硬件描述语言进行模块电路的设计;
设计硬件要求:PC机,操作系统为Windows2000/XP,本课程所用系统均为max+plus II ,GW48系列SOPC/EDA实验开发系统。
二、工作原理以及方案选择
原理工作说明:
根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽为1秒的对输入信号脉冲计数允许的信号;1秒计数结束后,计数值锁入锁存器的锁存信号和为下一测频计数周期作准备的计数器清0信号。这3个信号可以由一个测频控制信号发生器产生,即图(a)中的TESTCTL,它的设计要求是,T_EN能产生一个1秒脉宽的周期信号,T10的ENA使能端进行同步控制。当CNT_EN高电平时,允许计数;低电平时停止计数,并保持其所计的脉冲数。在停止计数期间,首先需要产生一个锁存信号LOAD,在该信号上升沿时,将计数器在前1秒钟的计数值锁存进各锁存器REG4B中,并由外部的7段译码器译出,显示计数值。设置锁存器的好处是,显示的数据稳定,不会由于周期性的清零信号而不断闪烁。锁存信号之后,T对计数器进行清零,为下1秒钟的计数操作作准备。其工作时序波形如图
(a)。
图(a) 频率计测频控制器TESTCTL测控时序图
图(b) 4位十进制频率计顶层文件原理图
选择的设计方案:
根据频率计的工作原理,将电路划分成控制器、计数器、锁存器和LED显示几个模块,
控制器——产生1秒脉宽的计数允许信号、锁存信号和计数器清零信号
计数器——对输入信号的脉冲数进行累计
锁存器——锁存测得的频率值
LED显示——将频率值显示在数码管上
根据图(a)、(b)及(1)(2)描述的4位十进制频率计的工作原理,利用max+ plusII (b),写出频率计的顶层文件,并给出其测频时序波形,及其分析。
频率计设计硬件验证。编译、综合和适配频率计顶层设计文件,并编程下载进入目标器件中。本实验目标器件是EP1K30TC144-3,实验电路选择模式0,4个数码管(数码4-1:PIO31-PIO16)显示测频输出;待测频率输入FIN由clock0输入,频率
可选4Hz、256HZ . . .或更高;1HZ测频控制信号F1HZ可由clock2输入(用电路帽选选1Hz)。
三、各模块及顶层文件的设计
(1)、十进制计数
library ieee;
use ;
use ;
t10 is
port (rst,clk,ena:in std_logic;
cout: out std_logic;
outy :out std_logic_vector(3 downto 0));
t10;
architecture behv t10 is
begin
process (rst,ena,clk)
variable cqi :std_logic_vector(3 downto 0);
begin
if rst='1' then cqi :=(others =>'0');
elsif clk'event and clk='1' then
if ena ='1' then
if cqi < 9 then cqi:=cqi+1;cout<='0';
elsif cqi=9 then
cqi :=(others =>'0');
cout<='1';
end if;
elsif ena='0' then cqi:=(others =>'0');
end if;
end if;
outy <=cqi;
end process;
end behv;
(2)、4位10进计数器
library ieee;
use ;
t10_4 is
port(clk,rst,ena:in std_logic;
d:out std_logic_vector(15 downto 0));
end entity;
architecture one t10_4 is
t10
port (rst,clk,ena:in std_logic;
cout: out std_logic;
outy :out std_logic_vector(3 downto 0));
po

4位十进制频率计的设计 计算机与电子等专业课程设计 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数14
  • 收藏数0 收藏
  • 顶次数0
  • 上传人brnpnu31
  • 文件大小594 KB
  • 时间2018-01-19