下载此文档

基于FPGA液晶显示模块设计.doc


文档分类:通信/电子 | 页数:约27页 举报非法文档有奖
1/27
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/27 下载此文档
文档列表 文档介绍
学号
**********
毕业设计(论文)
基于FPGA液晶显示模块设计

2010年六月
毕业设计(论文)任务书
学生姓名
叶龙
专业班级
电子信息工程1064
指导教师
桂玲
工作单位
设计(论文)题目
基于FPGA液晶显示模块设计
设计(论文)主要内容:
(表中内容宋体5号)
要求完成的主要任务及其时间安排:
必读参考资料:
指导教师签名: 教研室主任签名:
毕业设计(论文)开题报告
题目
基于FPGA液晶显示模块设计
目的及意义(含国内外的研究现状分析):
(1)国内外的研究现状分析:
FPGA是现场可编程门阵列的简称,FPGA的应用领域最初为通信领域,但目前,随着信息产业和微电子技术的发展,可编程逻辑嵌入式系统设计技术已经成为信息产业最热门的技术之一,应用范围遍及航空航天、医疗、通讯、网络通讯、安防、广播、汽车电子、工业、消费类市场、测量测试等多个热门领域。并随着工艺的进步和技术的发展,向更多、更广泛的应用领域扩展。越来越多的设计也开始以ASIC转向FPGA, FPGA正以各种电子产品的形式进入了我们日常生活的各个角落。
(2)本次毕业设计的目的及意+义:
通过这次毕业设计,加强了我对相关的基本知识和基本技能的理解和掌握。
,quartus的使用方法有了更深的了解。

,理论分析与设计运算能力,进一步提高了应用能力以及编写程序技巧。使我的独立思考问题和解决问题的能力得到了很好的锻炼。
4. 使我的独立思考问题和解决问题的能力得到了很好的锻炼,为今后工作做好技术储备。
:
(1)基本内容:
应用VHDL语言对FPGA进行开发,下载到ALTERA公司的Cyclone系列的EP1C3芯片上调试通过,使其能驱动和控制LCD12864,可显示4行8列中文字符或4行16 列的英文字符.
(2)技术方案:


.
本方案采用的FPGA为Alter公司的EP1C3芯片,它可提供系统的时钟及读写控制,驱动电路通过串口和上位机进行通讯,数据通过串口传输到FPGA 的片上ROM 中,传输结束后FPGA 上的液晶显示驱动电路开始工作,控制信号发生器产生控制信号及地址, 并将由片上ROM 读出的像素点的值送LCD显示器显示.

图为液晶控制系统.
:
第4—7周毕业实****撰写实报告,下达毕业设计任务书;
第8 —12周硬件电路情况;程序编写(VHDL),调试;
对程序进行仿真,并在EDA实验箱上运行,实验现象观察。
参数修改,记录相关数据;
第第13周对系统进行调试、修改并完善;编写报告初稿;
第14周撰写设计报告(论文),并交与相关老师验收认证工作;
第15周参加毕业答辩。
:
内容正确
指导教师签名: 桂玲 2010年 4 月 16 日
郑重声明
本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包括任何其他个人或集体已经发表或撰写的成果作品。本人完全意识到本声明的法律后果由本人承担。
本人签名: 叶龙日期:
摘要 7
1 绪论 9
选题的背景与意义 9
LCD的控制,应用和市场的发展现状 9
课题的主要研究内容和重点、难点 10
本课题主要研究内容和重点 10
本课题的主要难点: 10
课题研究预期目标 10
课题研究预期理论目标 10
课题研究预期技术目标 11
2 FPGA应用开发 11
FPGA简介 11
FPGA的设计方法 11
VHDL硬件描述语言 12
Quartus II简介 13
3 12864点阵型液晶显示器 13
12864点阵型液晶显示器的显示原理 13
12864液晶显示器的内部结构及外部引脚 14
12864液晶显示器的内部结构 15
12864液晶显示器的外部引脚 16
12864液晶显示器的编程指令 17
4 接口电路设计 18
5 软件设计 19
19
VHDL代码 LCD模块 20
Rom模块 24
进行仿真分析, 25
结束语 25
参考文献 26

基于FPGA液晶显示模块设计 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数27
  • 收藏数0 收藏
  • 顶次数0
  • 上传人小猪猪
  • 文件大小0 KB
  • 时间2012-05-20