下载此文档

交通灯控制器设计.doc


文档分类:通信/电子 | 页数:约11页 举报非法文档有奖
1/11
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/11 下载此文档
文档列表 文档介绍
洛阳理工学院
课程设计报告
课程名称 PLD原理与应用
设计题目交通灯控制器设计
专业通信工程
班级 B
学号 B
姓名王东浦
完成日期 2012-12-21
课程设计任务书
设计题目:交通灯控制器设计
设计内容与要求:
运用VHDL(Verilog)语言编写控制模块程序,仿真并调试好所设计程序预期的功能,最后在实验开发板上下载并运行成功。
指导教师:_______________
年月日
课程设计评语
成绩:
指导教师:_______________
年月日
.
(1)运用VHDL(Verilog)语言编写模块程序。
(2)巩固课堂所学的组合逻辑电路,时序逻辑电路及其有关的应用,如计数器,分频器。
(3)提高实践及运用意识。
.
基本模型:
设交通灯信号控制器用于主干道公路的交叉路口,要求是优先保证主干道的畅通,因此,平时处于“主干道绿灯,支道红灯”状态。
(1)当处于“主干道绿灯,支道红灯”状态:
①主干道有车要求通行,支道也有车要求通行时,若主干道通行时间大于等于30秒则切换到“主黄,支红”,4秒后自动切换到“主红,支绿”。
②主干道无车要求通行,支道有车要求通行时,立即切换到“主黄,支红”,4秒后自动切换到“主红,支绿”。
其它情况保持“主绿,支红”状态。
(2)当处于“主干道红灯,支道绿灯”状态:
①支道有车要求通行时,保持“主红,支绿”状态,但最多保持30秒,然后自动切换到
“主红,支黄”状态4秒,之后自动切换到“主绿,支红”状态。
②支道无车要求通行时,立即切换到“主红,支黄”状态,4秒之后,自动切换到“主绿,支红”状态。
(3)利用八位七段管码显示模块其中的2位实现时间显示。
(4)扩展要求:自主设计(如改变时间显示方式,丰富控制逻辑等)
.


:
(1)由于主干道,支干道的交通灯均在绿,黄,红三种状态之间有顺序的转换,组合共有四种。所以,利用状态机按照设定的条件实现“主绿,支红”、“主黄,支红”、“主红,支绿”、“主红,支黄”4种状态之间的切换。
(2)因为红黄绿灯之间转换有时间限制,所以要有计数器,可用七段数码管显示模块,由于时间是两位数,所以只需要八位七段管码显示模块其中的2位实现时间显示。
(3)由于实验室提供的基准频率为50MHZ,所以得用分频器得到所需要的频率。

四、VHDL 功能语言实现程序:
library ieee;
use ;
use ;
entity traffic is
port(clk: in std_logic;
A1,B1,C1,D1,A2,B2,C2,D2:out std_logic;
AR,AY,AG,BR,BY,BG,oe:out std_logic);
end;
architecture arch of traffic is
type states is (s3,s2,s1,s0);
signal state: states:=s0;
signal next_state: states:=s0;
signal count: std_logic_vector(2 downto 0);
signal count0: std_logic_vector(3 downto 0);
signal count1: std_logic_vector(3 downto 0);
signal data0: std_logic_vector(3 downto 0);
signal data1: std_logic_vector(3 downto 0);
signal light: std_logic_vector(5 downto 0);
signal en,load,carry: std_logic;
begin
p1:process(clk,load)
begin
if rising_edge(clk) then
if load='1' then
count0<=data0;
elsif count0="0000" then
count0<="1001";
else
count0<=count0-'1';
end if;
end if;
end process p1;
p2:process(clk)
begin
if clk='0' then
if count0="0000" then
en<='1';

交通灯控制器设计 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数11
  • 收藏数0 收藏
  • 顶次数0
  • 上传人glfsnxh
  • 文件大小117 KB
  • 时间2018-02-21