下载此文档

eda试题及答案.doc


文档分类:研究生考试 | 页数:约43页 举报非法文档有奖
1/43
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/43 下载此文档
文档列表 文档介绍
一、选择题:(20分)
大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:___D__
A. CPLD是基于查找表结构的可编程逻辑器件
B. CPLD即是现场可编程逻辑器件的英文简称
C. 早期的CPLD是从FPGA的结构扩展而来
D. 在Xilinx公司生产的器件中,XC9500系列属CPLD结构
基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:_________D
A.①②③④ B.②①④③ C.④③②① D.②④③①
IP核在EDA技术和开发中具有十分重要的地位,IP分软IP、固IP、硬IP;下列所描述的IP核中,对于固IP的正确描述为:__________D
,但不涉及实现该功能块的具体电路
——模型库
,完成了综合的功能块

下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:__________B
,很适合完成较大规模的电路系统设计



在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是:_______D

,执行完成后,等待下一次进程启动

、并行语句部分和敏感信号参数表三部分组成
对于信号和变量的说法,哪一个是不正确的:_________A




下列状态机的状态编码,_________方式有“输出速度快、难以有效控制非法状态出现”这个特点。A




VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:_______D




下列4个VHDL标识符中正确的是:_______B
#128#
#E#E1


,不属于并行语句的是:_______B



…ELSE…语句
二、EDA名词解释(10分)
写出下列缩写的中文(或者英文)含义:
ASIC 专用集成电路
FPGA 现场可编程门阵列
IP 知识产权核(软件包)
JTAG 联合测试行动小组
HDL 硬件描述语言
三、VHDL程序填空:(10分)
以下程序是一个BCD码表示0~99计数器的VHDL描述,试补充完整。
library ieee;
use ;
use ;
t100b is
port( clk, rst, en : in std_logic;
cq : out std_logic_vector(7 downto 0); -- 计数输出
cout: out std_logic); -- 进位输出
end t100b;
architecture bhv t100b is
begin
process (clk, rst, en)
variable cqi : std_logic_vector(7 downto 0);
begin
if rst = '1' then
cqi := (others => ‘0’); -- 计数器清零复位
else
if clk’event and clk = ‘1’ then -- 上升沿判断
if en = '1' then
if cqi(3 downto 0) < "1001" then -- 比较低4位
cqi := cqi + 1; -- 计数加1
else
if cqi(7 downto 4) < "1001" then -- 比较高4位
cqi := cqi + 16;
else
cqi := (others =

eda试题及答案 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数43
  • 收藏数0 收藏
  • 顶次数0
  • 上传人陈潇睡不醒
  • 文件大小5.72 MB
  • 时间2018-03-25