下载此文档

试验七门电路与触发器.doc


文档分类:高等教育 | 页数:约68页 举报非法文档有奖
1/68
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/68 下载此文档
文档列表 文档介绍
《电工电子学》实验指导书
机电学院实验中心
2009年2月
目录
实验一电路基本定律 - 3 -
实验二 RC一阶电路的响应测试 - 7 -
实验三三相电路 - 10 -
实验四三相异步电动机的控制 - 13 -
实验五共射极基本放大电路 - 16 -
实验六比例求和运算电路 - 21 -
实验七门电路与触发器 - 25 -
实验八集成计数器与寄存器的应用 - 28 -
实验九 555定时器及其应用 - 32 -
实验一基本逻辑门(选做实验) - 34 -
实验二组合逻辑电路实验(选做实验) - 36 -
实验三时序逻辑电路实验(选做实验) - 40 -
实验四 A/D转换器、D/A转换器实验(选做实验) - 45 -
实验五设计数字分频电路产生所要求的波形(选做实验) - 48 -
实验六 R、L、C串联电路的频率特性(选做实验) - 49 -
实验七电子仪器使用及常用元件的识别与测试(选做实验) - 51 -
实验八波形发生电路(选做实验) - 55 -
实验九 LC选频放大与LC正弦振荡电路(选做实验) - 58 -
实验十综合设计实验(选做实验) - 60 -
实验十一三相鼠笼异步电动机的起动与工作特性(选做实验) - 61 -
实验十二三相异步电动机继电接触控制线路(选做实验) - 65 -
实验一电路基本定律
一、实验目的
(KCL、KVL)


、电压参考方向的理解

二、仪器设备
—DG2电路分析实验箱 1台
-10 型万用表或数字万用表各1台
三、预****内容
;认真阅读TPE—DG2电路分析实验箱使用说明(见附录)
;写预****报告,设计测量表格并计算理论值
—DG2电路分析实验箱设计好连接线路
四、实验原理
、电压定律及叠加定理
(1)验证基尔霍夫电流定律(KCL)
R1
R2
R3
E1
E2
A
B
I1
I2
I3
基尔霍夫电流定律(KCL):在集总电路中,任一瞬时,流向某一结点的电流之和等于由该结点流出的电流之和。
图1-1 验证基尔霍夫电流、电压定律电路原理图
电路原理图及电流的参考方向如图1-1所示。根据KCL,当E1、E2共同作用时,流入和流出结点A的电流应有:I1+I2-I3=0成立。将所测得的结果与理论值进行比较。
(2)验证基尔霍夫电压定律(KVL)
基尔霍夫电压定律(KVL):在集总电路中,任一瞬时,沿任一回路所有支路电压的代数和恒等于零。
其电路原理图及电流的参考方向如图1-1所示。根据KVL应有:E1-UR1-UR3=0;或E1-UR1+UR2-E2=0;或E2-UR1-UR2=0成立,将所测得的结果与理论值进行比较。
(3)验证叠加定理
叠加原理不仅适用于线性直流电路,也适用于线性交流电路,为了测量方便,我们用直流电路来验证它。叠加定理可简述如下:
在线性电路中,任一支路中的电流(或电压)等于电路中各个独立源分别单独作用时在该支电路中产生的电流(或电压)的代数和,所谓一个电源单独作用是指除了该电源外其他所有电源的作用都去掉,即理想电压源所在处用短路代替,理想电流源所在处用开路代替,但保留它们的内阻,电路结构也不作改变。
由于功率是电压或电流的二次函数,因此叠加定理不能用来直接计算功率。其电路原理图及电流的参考方向如图1-1所示。
分别测量E1、E2共同作用下的电流I1、I2、I3;E1单独作用下的电流I1¢、I2¢、I3′和E2单独作用下的电流I1¢¢、I2¢¢、I3¢¢。根据叠加原理应有:I1=I1¢+ I1¢¢; I2= I2¢+ I2¢¢; I3=I3′+ I3¢¢成立,将所测得的结果与理论值进行比较。

戴维南定理指出:任何一个线性有源一端口网络,对于外电路而言,总可以用一个理想电压源和电阻的串联形式来代替,理想电压源的电压等于原一端口的开路电压UOC,其电阻(又称等效内
阻)等于网络中所有独立源置零时的入端等效电阻Req,见图1-2。
线性有源二端网络
a
b
等效成
+
-
a
b
UOC
Req
图1-2 戴维南定理示意图
R1
R2
R3
E1
E2
A
B
I1
I2
I3
等效成
+
-
A
B
UOC
Req
R3
I3
图1-3 (A)
图1-3 (B)
具体的来说在图1-1中对于R3来讲从A、B两端看进去为一线性有源二端网络如下图1-3(A)虚框中所示,将R3开

试验七门电路与触发器 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数68
  • 收藏数0 收藏
  • 顶次数0
  • 上传人likuilian1
  • 文件大小5.73 MB
  • 时间2018-04-27