下载此文档

基于单片机的信号发生器设计.doc


文档分类:通信/电子 | 页数:约31页 举报非法文档有奖
1/31
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/31 下载此文档
文档列表 文档介绍
基于单片机的信号发生器设计
Design of Signal Generator System Based on SCM
Zisu zhou
(College of Zhangjiajie, Jishou University, Jishou,Hunan 416000)
Abstract
Based on the introduction of MAX038 , we discussed the principle and the whole frame of the digital function signal generator. We described the control of the oscillatory frequent , amplitude and the digital display in detail. Thegenerator can output three kinds of waves : sine wave , square wave , triangle wave.
This text has exposition the puter controls the D/A electric circuit of conversion realize the process. In D/A changing electric circuit adopt the 8 bit 4 e to realize. Porentiometer AD5171 is adopted in the control of length. This chip is that I²C bus control way. This system supports key-control puter-control modes.
Key words : function signal ; D/A ; single - chip microprocessor control ;
目录
绪论 1
第一章 系统概述和设计方案 2
论文的内容和组织 2
2
2
3
频段控制调整参数计算 4
5
6
6
第二章系统硬件设计 8
系统总体设计 8
8
D/A转换电路(频率,占空比控制电路) 10
10
11
D/A转换电路的电路说明 13
14
幅度控制电路 15
键盘电路 17
18
第三章系统软件流程图设计 20
主程序流程图 20
21
21
22
系统分析与总结 23
参考文献 24
附录 25
绪论
基于单片机的信号发生器设计,该课题的设计目的是充分运用大学期间所学的专业知识,考察现在正在使用的信号发生器的基本功能,完成一个基本的实际系统的设计全过程。关键是这个实际系统设计的过程,在整个过程中我可以充分发挥自动化的专业知识。特别是这个信号发生器的设计中涉及到一个典型的控制过程。通过单片机控制一个有特殊功能的信号发生芯片,可以产生一系列有规律的幅度和频率可调的波形。这样一个信号发生装置在控制领域有相当广泛的应用范围。
在现代社会中,自动化技术已经渗透到社会生活的各个领域中。在超声波测量技术中,超声换能器(发射换能器和接收换能器) 是超声波检测技术的核心部件。高精度、宽频率范围、高稳定性的激励源对于发射换能器及超声检测系统性能的改善和提高起着至关重要的作用。传统的波形发生器通常由晶体管、运放IC 等分离元件制成。与此相比,基于集成芯片的波形发生器具有高频信号输出、波形稳定、控制简便等特点。其中,信号发生器是自动化领域中的一个典型应用。因为现代的自动化控制中基本都会利用信号来控制设备的工作。利用信号的产生进行仪器的控制已经是自动控制中的一个重要的手段,那么一个幅度、频率、占空比以及波形可调的信号发生器的设计和完成更具有使用价值。只要将这个信号发生器设计的基本思路掌握,不但可以融会贯通所学的专业知识还可以在以后工作中利用到,作为用来控制其他设备或设计的一个参考。
信号发生器是电子实验室的基本设备之一,目前各类学校广泛使用的是标准产品,虽然功能齐全、性能指标较高,但是价格较贵,且许多功能用不上。本文介绍一种由集成

基于单片机的信号发生器设计 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数31
  • 收藏数0 收藏
  • 顶次数0
  • 上传人mh900965
  • 文件大小1.18 MB
  • 时间2018-05-24