下载此文档

实验4:环形移位寄存器.ppt


文档分类:通信/电子 | 页数:约8页 举报非法文档有奖
1/8
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/8 下载此文档
文档列表 文档介绍
GEXIN EDAPRO/240H 超级万能实验仪
实验四: 环形移位寄存器
一、实验前准备
本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208)。EDAPRO/; EDAPRO/IO跳线器组中“”应短接,IO均断开;独立扩展下载板“EP1K10_30_50_100QC208”;独立扩展下载板“EP1K10_30_50_100QC208”。
二、实验目的
一、实验目的
熟悉使用VHDL语言设计时序逻辑电路;
体会元件例化语句在层次化设计中的应用。
二、设计任务及要求
设计一个8位环形右移移位寄存器;
要求数据输入端为DIN,移位时钟为CLK,复位信号为RST,寄存器输出为Q0~Q7,Q7兼作移位输出端。。
library ieee; use ;
entity shift_circuit is
port(din:in std_logic_vector( 7 downto 0);
clk:in std_logic;
reset:in std_logic;
load:in std_logic;
q:out std_logic_vector(7 downto 0)
);
end entity shift_circuit;
architecture behave of shift_circuit is
--signal m :std_logic_vector(7 downto 0);
--signal m_bit: std_logic;
begin

process(clk,reset)
---带

实验4:环形移位寄存器 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数8
  • 收藏数0 收藏
  • 顶次数0
  • 上传人zbfc1172
  • 文件大小856 KB
  • 时间2018-06-23