下载此文档

数电课程设计报告-《八路数字抢答器》课程设计.doc


文档分类:高等教育 | 页数:约24页 举报非法文档有奖
1/24
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/24 下载此文档
文档列表 文档介绍
目录
课程设计任务书 2
摘要 4
Abstract 5
一、实验目的 6
二、设计要求与内容 6
三、设计及原理 7
总体方案设计 7
设计思路 7
总电路框图 7
各模块设计方案及原理说明 8
抢答电路 8
倒计时电路 12
四、电路仿真 14
抢答电路 14
倒计时电路 17
五、实验结果及分析 20
六、收获、体会和建议 22
附录 25
25
2. 元件引脚图 26
28
主要参考文献 29
摘要
抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用Multisim11完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。
关键词: 电子设计自动化;数字电子技术;抢答器;仿真
Abstract
Responder is a tool that has been widely used in various intelligence and petitions occasions. The design to eight-way quiz Responder basic concepts, from the practical application, the use of electronic design automation (EDA) technology, with digital, analog electronics design extension of the answering device. The design of the Responder use pleted the schematic design and circuit simulation, with digital display, countdown shows, coding and decoding functions, with good results.
Key words: EDA; digital electronic technology; Responder; Simulation
八路数字抢答器
一、实验目的
通过八路数字抢答器的设计实验,要求学生回顾所学数字电子技术的基础理论和基础实验,掌握组合电路、时序电路、编程器件和任意集成电路的综合使用及设计方法,熟悉掌握优先编码器、触发器、计数器、单脉冲触发器、555电路、译码/驱动电路的应用方法,熟悉掌握时序电路的设计方法。达到数字实验课程大纲所要求掌握的基本内容。
二、设计要求与内容
在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光或音响等多种手段指示出第一抢答者。
(1)设计制作一个可容纳8组参赛的数字式抢答器,每组设置一个抢答按钮供抢答者使用。
(2)电路具有第一抢答信号的鉴别和锁存功能。在主持人系统发出抢答指令后,若参赛者按抢答开关,则该组指示灯亮并用组别显示电路显示出抢答者的组别,同时指示灯(发光二极管)亮。此时,电路应具备自锁存功能,使别组的抢答开关不起作用。
(3)若超时仍无人抢答,则报警指示灯熄灭。
三、设计及原理
总体方案设计
设计思路
①本题的根本任务是准确判断出第一抢答者的信号并将其锁存。实现这一功能可选择使用触发器或锁存器等。在得到第一信号之后应立即将电路的输入封锁,即使其他组的抢答信号无效。同时还必须注意,第一抢答信号应该在主持人发出抢答命令之后才有效。
②当电路形成第一抢答信号之后,用编码、译码及数码显示电路显示出抢答者的组别,也可以用发光二极管直接指示出组别。
③在主持人没有按下开始抢答按钮前,参赛者的抢答开关无效;当主持人按下开始抢答按钮后,开始进行30秒倒计时,此时,若有组别抢答,显示该组别并使抢答指示灯亮表示“已有人抢答”;当计时时间到,仍无组别抢答,则计时指示灯灭表示“时间已到”,主持人清零后开始新一轮抢答。、
总电路框图
图 3-1总电路框图
各模块设计方案及原理说明
抢答电路
此部分电路主要完成的功能是实现8路选手抢答并进行锁存,同时有相应发光二极管点亮和数码显示。
使用优先编码器 74LS148 和锁存器 74LS297 来完成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号(显示电路采用七

数电课程设计报告-《八路数字抢答器》课程设计 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数24
  • 收藏数0 收藏
  • 顶次数0
  • 上传人3346389411
  • 文件大小0 KB
  • 时间2013-04-23