下载此文档

移位寄存器及其应用.doc


文档分类:高等教育 | 页数:约8页 举报非法文档有奖
1/8
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/8 下载此文档
文档列表 文档介绍
一、实验目的

——构成环形计数器和串行累加器。
二、预****要求

、移位寄存器构成环形计数器和串行累加器的方法。
三、实验原理

是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的称为双向移位寄存器。根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。本实验选用的是4位双向移位寄存器,型号为74LS194(TTL器件)或CC40194 (CMOS器件),两者功能完全相同,可以互换使用。74LS194的最高时钟脉冲为36MHZ,其逻辑符号及引脚排列如图8-1 所示:

图 8-1 74LS194的逻辑符号及引脚排列
其中:D0~D1为并行输入端;Q0~Q3为并行输出端;SR――右移串行输入端;SL--左移串行输入端;S1、S0――操作模式控制端;――为直接无条件清零端;CP――为时钟脉冲输入端。:


LS194模式控制及状态输出表

2、移位寄存器的应用
移位寄存器的应用范围很广,可构成移位寄存器型计数器;顺序脉冲发生器;串行累加器;可用作数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等。本实验研究移位寄存器用作环形计数器和串行累加器的线路及其原理。
(1)环形计数器
把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位,如图8-2所示。把输出端Q0 和右移串行输入端 SR相连,设初态为Q3Q2Q1Q0=1000,则在CP作用下,模式设为右移,输出状态依次为:
1000 0100 0010 0001
       
图8-2所示电路是一个有四个有效状态的计数器,这种类型计数器通常称为环形计数器。同时输出端输出脉冲在时间上有先后顺序,因此也可以作为顺序脉冲发生器。

图8-2 4位环形计数器
(2)串行累加器
累加器是由移位寄存器和全加器组成的一种求和电路。它的功能是将本身寄存的数和另一个输入的数相加,并存放在累加器中。图8-3是由两个右向移位寄存器、一个全加器和一个进位触发器组成的串行累加器。
图8-3 串行累加器结构框图
设开始时,被加数A=AN-1…A0和加数B=BN-1…B0分别存入N+1位累加数移位寄存器和加数移位寄存器。再设进位触发器D已被清零。
在第一个CP脉冲到来之前,全加器各输入、输出端的情况为:An=A0,Bn=-1=0,Sn=A0+B0+C0==C0。
当第一个CP脉冲到来后,S0存入累加和移位寄存器的最高位,C0存入进位触发器D端,且两个移位寄存器中的内容都向右移动一位。全加器输出为Sn=A1+B1+C0==C1。
在第二个脉冲到来后,两个移位寄存器的内容又右移一位,S1存入累加和移位寄存器的最高位,原先存入的S0进入次高位,C1存入进位触发器Q端,全加器输出为:Sn=A2+B2+C1==C2。
如此顺序进行,到第N+1个CP脉冲后,不仅原先存入两个移位寄存器中的数已被全部移出,且A、-1也被全部存入累加和移位寄存器中。若需继续累加,则加数移位寄存

移位寄存器及其应用 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数8
  • 收藏数0 收藏
  • 顶次数0
  • 上传人zbfc1172
  • 文件大小179 KB
  • 时间2019-01-11