下载此文档

单片机课设完整的.doc


文档分类:高等教育 | 页数:约24页 举报非法文档有奖
1/24
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/24 下载此文档
文档列表 文档介绍
福州大学至诚学院《单片机原理及应用》课程设计题目:抢答器姓名:金鑫_学号:210992115系别:电气工程与自动化系__专业:电气工程及其自动化__年级:2009_起讫日期:~:张丽萍目录第1章课程设计目的,题目和目标以及设计方案.............................................................................................................................................................................................................................................................................................................................................................................5第2章Proteus仿真原理图...............................................6第3章程序流程图......................................................7第4章程序代码.......................................................8第5章调试总结和心得体会.............................................18参考文献.............................................................20第1章课程设计目的,《单片机原理及应用》课程设计是与《单片机原理及应用》课程相配套的实践教学环节。《单片机原理及应用》是一门实践性很强的专业基础课,通过课程设计,达到进一步理解单片机的硬件、软件和综合应用方面的知识,培养实践能力和综合应用能力,开拓学****积极性、主动性,学会灵活运用已经学过的知识,并能不断接受新的知识。培养大胆发明创造的设计理念,为今后就业打下良好的基础。通过课程设计,掌握以下知识和技能:1、单片机应用系统的总体方案的设计;2、单片机应用系统的硬件设计;3、单片机应用系统的软件程序设计;4、单片机开发系统的应用和调试能力。:用串行AD芯片PCF8591T对正弦波、三角波、方波进行采样。实现目标:能输出3种波形的瞬时值、峰值、平均值。;幅值可以在0~5V任意调节;频率可以在0~1KHz任意调节;根据开发板原理图接线,并且上电可以运行。:(1)控制模块:使用STC89C52作为主控制器。(2)液晶显示模块:使用双行16*2显示的LCD1602做显示模块;(3)AD采样模块:使用8位逐次逼近AD转换器PCF8591T芯片。PCF8591T芯片性价比很高,可达到精度8位的精度,,幅值采样范围为(0,+5)V,工作电压范围为(+2,+6)V。(4)键盘模块:选用3个独立按钮作为3种波形的切换。:首先确定课程设计的目标是设计一个键盘控制波形模式,由单片机和AD转换器及其它相关部件共同实现。根据所要实现的功能,先在proteus软件上仿真。根据所选用的硬件可以将整个软件设计分为若干子程序,有初始化、查询时间、发送指令、读取数据、显示电压等构成,可以将以上子程序分别设计,实现各自的功能,再在子程序中调用,就可以实现预期的目标,在protues软件里画出相应的电路图,将编写好的程序导入到软件里进行仿真,对仿真中波形发生器设置不同频率和幅值,看是否达到了设计的要求,若仿真成功,就按照电路图进行开发板实物连接。采用一个AD转换器PCF8591T和单片机控制,可以通过液晶显示屏直接读取被采样的瞬时值,在通过比较取得最大值(即幅值),,高性能CMOS8位微处理器,俗称单片机。AT89C2051是一种带2K字节闪烁可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可以反复擦

单片机课设完整的 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数24
  • 收藏数0 收藏
  • 顶次数0
  • 上传人aena45
  • 文件大小412 KB
  • 时间2019-01-17