下载此文档

VHDL语言在电子设计自动化中的应用.doc


文档分类:通信/电子 | 页数:约9页 举报非法文档有奖
1/9
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/9 下载此文档
文档列表 文档介绍
浅议VHDL语言在电子设计自动化中的应用【摘要】随着电子技术和计算机技术的迅速发展,电子设计也变得越来越复杂,并朝着自动化方向发展,且运用语言进行电子设计成为了一种趋势,有效地缩短了开发的周期及效率,其中vhdl语言就是电子设计中常用的一种语言。本文就vhdl语言在电子设计自动化中的应用进行了分析讨论。【关键词】vhdl语言;电子设计;自动化;应用【abstract】putertechnology,plex,andtowardthedevelopmentofautomation,eatrend,effectivelyshortenthedevelopmentcycleandefficiency,monlyusedinalanguage,thispaperonthevhdllanguageinelectronicdesignautomationapplicationisanalyzedanddiscussed.【keywords】vhdllanguage;electronicdesignautomation;application0引言近些年,随着电子技术及计算机技术的不断发展,使用原来的方法进行系统及芯片的设计已经不能满足要求了,需要具有更高效率的设计方法,运用vhdl语言进行电子设计就是在这种情况下开发的,而且被越来越广泛地应用到电子设计自动化中,显著地提高了开发效率及产品的可靠性。,它是在上世纪70年代的集成电路技术茂盛发展下诞生的,与集成电路的复杂度是紧密相关的。在第一代电子设计自动化eda中,其主要功能是进行图形编辑交互及设计规则检查,所要解决的问题是进行pcb布局布线或者晶体管级版图的设计;第二代电子自动化设计eda系统,主要包括逻辑图的设计输入、逻辑综合、芯片布图、模拟验证及印刷电路的版布图等,随着集成电路尺寸越来越小、规模越来越大、速度及频率越来越高、设计越来越复杂,hdl的设计方案应运而生,随后具有描述语言的vhdl被提出来了。,它是一种很快的电路设计工具,其功能主要包括电路合成、电路描述及电路仿真等电路设计工作。vhdl语言是由抽象及具体硬件级别进行描述的工业标准语言,它已经成为了一种通用硬件设计的交换媒介,很多工程软件供应商已经把vhdl语言当做了eda或cad软件的输入/输出标准,很多eda厂商还提供了vhdl语言编译器,同时在方针工工具、布图工具及综合工具中对vhdl语言提供了支持。。一是vhdl语言具有较强的描述功能,能够对支持系统的行为级、门级及寄存器传输级这三个层次进行设计,和其它硬件描述语言相比,vhdl语言的行为描述能力更强,这种较强的行为描述力能够有效地避开具体器件结构,对大规模的电子系统的逻辑行为进行描述与设计,vhdl语言已经成为高层次设计中的核心,也是它成为了电子设计系统领域最好的硬件语言描述。二是vhdl语言具有较为丰富的模拟库函数及仿真语句,这使它能够在任何设计系统中,很早地就能对设计系统功能中的可行性进行查验,并随时可以对设计进行模拟仿真,将设计中的逻辑错误消除在组装前,由于大规模集成电

VHDL语言在电子设计自动化中的应用 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数9
  • 收藏数0 收藏
  • 顶次数0
  • 上传人乘风破浪
  • 文件大小21 KB
  • 时间2019-03-13