下载此文档

基于FPGA车牌识别系统的设计与实现.doc


文档分类:论文 | 页数:约9页 举报非法文档有奖
1/9
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/9 下载此文档
文档列表 文档介绍
摘要:本文对传统的以通用数字信号处理器(dsp)为核心的车牌识别系统进行了改进,介绍了一种新的基于fpga车牌识别系统。该系统主要通过摄像头采集汽车车牌图像,经过fpga核心处理器对图像进行处理,识别出车牌号,并通过lcd显示。经过调试运行,该系统实现了车牌识别的功能,可运用于工程实践。关键词:fpga;车牌识别;图像预处理;模板匹配【abstract】basedonthetraditionaldsp,,,andthiscanbeusedintheengineeringprojects.【keywords】fpga;vlpr;imageprocessing;modulematch0引言智能交通系统已成为当前交通管理发展的重要方向,而车辆牌照识别是计算机视觉与模式识别在智能交通领域应用的重要研究课题之一,有着广泛的实际应用前景[1]。传统的车辆牌照识别大多以pc平台上的纯软件算法或dsp处理器为核心来实现。由pc机构建的系统非小型化,在系统实时性的方面存在不足,主要用于前期算法的研究;而以通用的数字信号处理器(dsp)为核心的车牌识别系统外围电路设计复杂,开发调试困难,系统的可扩展性和升级性较差。本文所构建的车牌字符系统基于fpga平台,具有并行运算能力强、接口逻辑丰富等特性,为构建实时、便携的车牌字符识别系统提供了一种有效、可行的解决方案。1系统概述系统的整体设计流程如下图1所示。本系统主要采用xilinx公司的virtex-2pro开发板为实验平台,根据该系统实现的功能,将系统划分为硬、软件两部分,硬件部分包括车牌采集和a/d转换、车牌预处理等;软件部分主要是在spartan-3efpga上使用microblaze软核系统开发,并使用多模板匹配算法对车牌进行识别,最后识别结果在lcd上显示。d摄像头、图像采集板、xc2vp30芯片、xccace芯片、以及lcd显示器等组成。系统的工作原理是:由摄像机输出的模拟视频信号通过图像采集板进行模数转换以及转换成相应图像格式进行存储,然后从存储器中将信号送至xc2vp30芯片进行车牌图像处理,最终在lcd上以文本的形式显示出由摄像头拍摄的车牌号码。3软件算法设计与实现通过车牌软件算法的研究,并运用计算机仿真及fpga集成开发环境ise编程实现车牌识别功能。,本文所采用的车牌定位方法流程图如图3所示。车牌定位算法步骤如下:(1)输入采集到的彩***片(2)把彩***片转化为256色灰度级的dib位图灰度图像(3)用基于空间分布的最大类间二值化方法将灰度图像二值化(4)对二值化图像进行中值滤波处理(5)采用边缘检测算子来实现车牌区域和背景的分离(6)对处理后的图像进行逐行逐列扫描,确定车牌的上下左右边界,并返回车牌所在位置,若不存在车牌则返回失败。

基于FPGA车牌识别系统的设计与实现 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数9
  • 收藏数0 收藏
  • 顶次数0
  • 上传人ayst8776
  • 文件大小23 KB
  • 时间2019-10-18