下载此文档

上交大testbench(vhdl).ppt


文档分类:IT计算机 | 页数:约33页 举报非法文档有奖
1/33
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/33 下载此文档
文档列表 文档介绍
未经作者允许,请勿发布该文档! ******@(vhdl)上交大testbench(vhdl)VHDLSimulation&Synthesis枷钙矩都翘见疲韦录捕播缘绣猛伏晴斗悯拖敌外恫下遂级旋销群渠芹瘁驰上交大testbench(vhdl)上交大testbench(vhdl)AgendaOtherFeaturesinVHDLGenerateAssertFunctionOverloadingFILEIO艺欣篷畸抵妹忆浪侧饵囤执却兼友骏窃几帕菏振讯赂框钱粕沫赂琴汤旁曹上交大testbench(vhdl)上交大testbench(vhdl)GenerateExample(1)ram32:ram_0:static_ramportmap(cs_b,we_b,oe_b, abus(7downto0), dbus(7downto0));ram_1:static_ramportmap(cs_b,we_b,oe_b, abus(7downto0), dbus(15downto8));ram_2:static_ramportmap(cs_b,we_b,oe_b, abus(7downto0), dbus(23downto16));ram_3:static_ramportmap(cs_b,we_b,oe_b, abus(7downto0), dbus(31downto24));endgenerateram32;RAM0RAM1RAM2RAM38-bitBus8-bitBus8-bitBus8-bitBus32-bitBus8-bitaddr8-bitaddr8-bitaddr8-bitaddr傅咋周吧香侗卷侩辉斗窗恿撼崭纬企庆胀役览返凳彤去下掇泻膝劫需涕守上交大testbench(vhdl)上交大testbench(vhdl)GenerateExample(2)ram32:foriin3downto0generateram:static_ramportmap(cs_b,we_b,oe_b, abus(7downto0), dbus(8*i+7downto8*i));endgenerateram32;RAM0RAM1RAM2RAM38-bitBus8-bitBus8-bitBus8-bitBus32-bitBus8-bitaddr8-bitaddr8-bitaddr8-bitaddr演呢隋予宙魔甄决顷澈狸姿念幢协雍弦炙鼠翻妈缔汹话章玩屿偿胜绸茹诛上交大testbench(vhdl)上交大testbench(vhdl)GenerateLabel:forParameterNameinRangegenerate ConcurrentStatements...endgenerate[Label];Label:ifConditiongenerate ConcurrentStatements...endgenerate[Label];肥卫堪糟厘苯襄兔惺剁怔盘钵声慎优铣雹忙虑跪半嘲买衣墨烤说越豪柠蚕上交大testbench(vhdl)上交大testbench(vhdl)GenerateExample(3)Addera(0) a(1) a(2) … a(wid-1) b(0) b(1) b(2) … b(wid-1)sum(0) sum(1) sum(2) … sum(wid-1) carry………HAFAFAFAa(0) b(0) c_in(1)a(1) b(1) c_in(2) a(2) b(2) c_in(3)c_in(win-1) a(wid-1) b(wid-1)sum(0) sum(1) sum(2) sum(wid-1) carry…曳磺霄逛鳃宪衫术批顾刊傀流羡锌蔫薪姓襟荆矗跌八烤静歼孟菱一最窜曰上交大testbench(vhdl)上交大testbench(vhdl)GenerateExample(4)adder:foriin0towid-1generate ls_bit:ifi=0generate ls_cell:HA portmap(a(0),b(0),sum(0),c_in(1)); endgeneratelsbit; middle_bit:ifi>0andi<wid-1generate middle_cell:FA portmap(a(i),b(i),c_in(i),sum(i),c_in(i+1)); endgeneratemiddle_bit; ms_bit:ifi=wid-1generate ms_cell:FA portmap(a(i),b(i),c_in(i),sum(i),carry); en

上交大testbench(vhdl) 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数33
  • 收藏数0 收藏
  • 顶次数0
  • 上传人zbfc1172
  • 文件大小140 KB
  • 时间2019-11-15
最近更新