下载此文档

基于FPGA调制解调器的设计.doc


文档分类:通信/电子 | 页数:约46页 举报非法文档有奖
1/46
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/46 下载此文档
文档列表 文档介绍
基于FPGA调制解调器的设计————————————————————————————————作者:————————————————————————————————日期: 毕业设计(论文)原创性声明和使用授权说原创性声明本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。作者签名: 日期: 指导教师签名: 日期: 使用授权说明本人完全了解安阳工学院关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。作者签名: 日期: 目录摘要 IAbstract II引言 1第一章绪论 10第二章数字调制解调原理 15第三章模块方案设计与仿真 25结论 26致谢 27参考文献 27附录 29基于FPGA的数字通信系统调制解调器的设计摘要:本设计简单介绍二进制振幅键控(ASK)、二进制频移键控(FSK)、二进制相位键控(PSK)的调制和解调原理。其中,相位键控分为绝对调相(CPSK)和相对调相(DPSK)两种。CPSK是利用载波的不同去直接传送数字信息是一种方式;DPSK则是用载波相位的相对变化来传送数字信号,即利用前后码之间的载波相位的变化表示数字基带信号。使用FPGA在EDA技术开发软件QuartusⅡ上实现三种调制信号的调制与解调,系统采用ALTERA公司生产的CycloneIIEP2C35F672C6型号的FPGA和EPCS16系列的配置驱动,使用VHDL硬件描述语言实现。根据系统的总体功能与硬件特点,设计总体框图,VHDL语言的特点,对VHDL建模并进行具体语言设计,让系统的解调结果准确,进行波形仿真与调试完成调制解调任务。关键词:FPGA;ASK;PSK;CPSK;municationsystembasedonFPGAAbstract:Thisdesignintroducesthebinaryamplitudeshiftkeying(ASK),binaryfrequencyshiftkeying(FSK),binaryphaseshiftkeying(PSK),thephaseshiftkeyingisdividedintoabsolutephasemodulation(CPSK)andrelativephasemodulation(DPSK)

基于FPGA调制解调器的设计 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数46
  • 收藏数0 收藏
  • 顶次数0
  • 上传人taotao0b
  • 文件大小525 KB
  • 时间2019-11-18