下载此文档

数字秒表的设计的vhdl语言.doc


文档分类:通信/电子 | 页数:约8页 举报非法文档有奖
1/8
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/8 下载此文档
文档列表 文档介绍
数字秒表的VHDL语言的程序设计本秒表计时器用于体育竞赛及各种要求有较精确时的各领域。此计时器是用一块专用的芯片,用VHDL语言描述的。它除开关、时钟和显示功能以外,它还包括1/100s计时器所有的控制和定时功能,其体积小,携带方便。计时器的设计功能:(1)               精度应大于1/100s(2)               计时器的最长计时时间为1小时在一般的短时间计时应用中,1小时应该足够了。为此需要一个6位显示器,。(3)               设置复位和启/停开关复位开关用来使计时器清0,并作好清0准备。启/停开关的使用方法与传统的机械计时器相同,即按一下启/停开关,启动计时器开始计时,再按一下启/停开关计时终止。复位开关可以在任何情况下使用,即使在计时过程中,只要按一下复位开关,计时进程应立即终止,并对计时器清零。设计方案:为了便于描述,将整个计时控制芯片分成5个子模块:键输入子模块(keyin),时钟产生子模块(clkgen),控制子模块(ctrl),tclk)和显示子模块(disp)。 芯片设计:各模块程序及生成的符号文件如下:。libraryieee;;;entitykeyinisport(reset,start_stop,clk:instd_logic;res,stst:outstd_logic);endentity;architectureaofkeyinissignalres0,res1,stst0,stst1:std_logic;beginprocess(clk)beginif(clk'eventandclk='0')then res1<=res0; res0<=reset; stst1<=stst0; stst0<=start_stop;endif;endprocess;process(res0,res1,stst0,stst1)beginres<=clkandres0and(notres1);stst<=clkandstst0and(notstst1);endprocess;enda; ;;; t10IS   PORT(reset,en,clk:INSTD_LOGIC;         carry:OUTSTD_LOGIC;         q   :OUTSTD_LOGIC_VECTOR(3DOWNTO0));T10; t10IS    SIGNALqs:STD_LOGIC_VECTOR(3DOWNTO0);    SIGNALca:STD_LOGIC;BEGIN   PROCESS(clk)     BEGIN      IF(clk'EVENTANDclk='1')THEN         IF(reset='1')THEN             qs

数字秒表的设计的vhdl语言 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数8
  • 收藏数0 收藏
  • 顶次数0
  • 上传人799474576
  • 文件大小37 KB
  • 时间2019-12-14