下载此文档

Vivado下MIG核仿真指导手册.doc


文档分类:行业资料 | 页数:约6页 举报非法文档有奖
1/6
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/6 下载此文档
文档列表 文档介绍
Vivado下MIG核仿真指导手册.docVivado下MIG核仿真手册刘志强IP-Core生成根据所选芯片的不同,参照说明文档生成对应的MIG核:VC709-VX690T,参照文件夹"MIG核配置-VC709"下的说明。VC709板卡上有两条型号为MT8KTF51264HZ-1G9的SODIMM条,,,吋根据需求选择配置一个或两个controller,;.xdc文件为约束文件,在配置阶段需要加载该文件用于指定具体管脚位置;EES256-VX485T,参照文件夹"MIG核配3SEES256"下的说明。EES256板卡是定制板卡,有三条SODIMM条插槽,具体型号用户可白行选择,文件夹"ddr3-datasheetw下中列出了不同型号的内存条的具体参数omig7-;mig7-。修改仿真文件生成后的MIG核包含以下儿个文件夹,其小"user_design/"文件夹包含了所有的设计文件,在仿真和综合过程中不做改动;"example_design/"文件夹包含了所有的仿真文件,需要修改的文件包括:rtl/:在该文件屮实例化要仿真的逻辑模块。,可用于验证MIG核是否正常匸作(仿真结束捉示Testpassedorfailed);验证Z后,可将traffic_gen模块替换为自己的逻辑进行后续的仿真;sim/:在ddr3_model模块屮定义了一个memory数组(数组宽度最人为64bits),用于模拟DDR3的访存行为。如果MIG核的数据接口为512bits,则需要实例化8个ddr3_model模块来完成模拟。"仿真文件改动"冃录下,主要修改的地方包括以下儿个方面:模块实例化参数parameterinit_number=0(line86),用于指导不同的ddr3_model模块加载不同的初始化数据文件;■初始化数据文件和地址文件(line403-line416),后面会详细讨论ddr3的数据格式和访存地址;初始化数据氏度memory_used(line400&line1904),将该变量赋值为初始化数据长度,如:初始化文件中包含1000行数据(与地址划分无关),则将该变量赋值为1000osim/:,主要关注MEM_BITS参数,,该参数决定了memory数组的最大深度,MEM_SIZE=(1«MEM_BITS),因此要根据仿真过程屮可能用到的最人数组深度来调整该参数,避免出现数纟H•越界的情况;sim/:在实例化ddr3_model模块部分,增加了实例化参数的赋值,参照"仿真文件改动"。说明:,涉及到MIG核的所有配置参数

Vivado下MIG核仿真指导手册 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数6
  • 收藏数0 收藏
  • 顶次数0
  • 上传人ttteee8
  • 文件大小100 KB
  • 时间2019-12-28