下载此文档

八位序列检测器设计.doc


文档分类:通信/电子 | 页数:约10页 举报非法文档有奖
1/10
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/10 下载此文档
文档列表 文档介绍
八位序列检测器设计班级:1302012学号:**********姓名:郭春晖设计说明使用quartus软件进行仿真和验证,并且还可以检测其他的序列,只需要修改一部分代码就可以实现。二、方案工作原理:基于FPGA的多路脉冲序列检测器的设计方案,使用VHDL语言设计时序逻辑电路,先设计序列发生器产生序列:10110**********;再设计序列检测器,检测序列发生器产生序列,若检测到信号与预置待测信号相同,则输出“1”,否则输出“0”,并且将检测到的信号的显示出来。三、单元模块设计1、序列信号发生器序列信号是指在同步脉冲作用下循环地产生一串周期性的二进制信号。利用状态机设计,首先定义一个数据类型FSM_ST它的取值为st0到st15的16个状态。REGs0s1s2s3s4s5s6s7Q10110100REGs8s9s10s11s12s13s14s15Q01101010序列信号发生器的代码如下:LIBRARYIEEE;;ENTITYSHKISPORT(CLK,RST:INSTD_LOGIC;CO:OUTSTD_LOGIC);ENDSHK;ARCHITECTUREbehavOFSHKISTYPEFSM_STIS(s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14,s15);SIGNALREG:FSM_ST;SIGNALQ:STD_LOGIC;BEGINPROCESS(CLK,RST)BEGINIFRST='1'THENREG<=s0;Q<='0';ELSIFCLK'EVENTANDCLK='1'THENCASEREGISWHENs0=>Q<='1';REG<=s1;WHENs1=>Q<='0';REG<=s2;WHENs2=>Q<='1';REG<=s3;WHENs3=>Q<='1';REG<=s4;WHENs4=>Q<='0';REG<=s5;WHENs5=>Q<='1';REG<=s6;WHENs6=>Q<='0';REG<=s7;WHENs7=>Q<='0';REG<=s8;WHENs8=>Q<='0';REG<=s9;WHENs9=>Q<='1';REG<=s10;WHENs10=>Q<='1';REG<=s11;WHENs11=>Q<='0';REG<=s12;WHENs12=>Q<='1';REG<=s13;WHENs13=>Q<='0';REG<=s14;WHENs14=>Q<='1';REG<=s15;WHENs15=>Q<='0';REG<=s0;WHENOTHERS=>REG<=s0;Q<='0';ENDCASE;ENDIF;ENDPROCESS;CO<=Q;ENDbehav;转化成可调用的元件:波形仿真如下:2、序列检测器脉冲序列检测起可用于检测一组或多组二进制码组成的脉冲序列信号,当序列检测器连续接收到一组穿行二进制码后,如果这组码与检测器中预先设置的码相同,则输出1,否则输出0。由于这种检测的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及正确的序列,直到连续的检测中所收到的每一位码都与预置数的对应码相同。在检测过程中,任何一位不相等将回到初始状态重新开始检测。序列检测器的代码如下:libraryieee

八位序列检测器设计 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数10
  • 收藏数0 收藏
  • 顶次数0
  • 上传人2072510724
  • 文件大小87 KB
  • 时间2020-01-03