下载此文档

1刘阳阳基于FPGA的ASK调制解调器的设计.doc


文档分类:通信/电子 | 页数:约25页 举报非法文档有奖
1/25
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/25 下载此文档
文档列表 文档介绍
本科毕业论文(设计、创作)题目:基于FPGA的ASK调制解调器的设计学生姓名:刘阳阳学号:1所在院系:电子电气工程学院专业:电子科学与技术入学时间:年9月导师姓名:刘同怀职称/学位讲师/副教授导师所在单位:安徽三联学院完成时间:年6月安徽三联学院教务处制基于FPGA的ASK调制解调器的设计摘要:随着当今科学技术的快速发展,调制解调技术作为一项现代通信系统的关键技术,也一直是人们研究的重要方向之一。在数字传输系统中,数字信号把高频载波进行调制,使它变成频带信号,之后又在接收端进行解调,使其恢复原数字信号对载波的控制,就能够使用振幅调制即振幅键控(ASK)来实现。现场可编程门阵列(FPGA)在通信领域实现了普遍的应用,利用FPGA性能优越、使用方便的特点,能够实现振幅调制解调电路设计的简化,而且方便于程序的反复编写和修改。基于FPGA的ASK调制解调器的特点有:外围电路简单,效率高,执行速度快,实用性高等。因此,本文着重介绍了通过对VHDL语言的运用以及ASK相关知识的学****用VHDL语言进行程序编写和软件仿真来完成基于FPGA的ASK调制解调器的设计。要求设计出相应硬件设计的电路原理图和软件程序设计并且得到仿真结果,完成二进制基带数字信号的调制和解调,并得到相应的调制信号和解调信号,从而完成基于FPGA的ASK调制解调器的设计。关键词:现场可编程门阵列;振幅键控;VHDL;调制解调ASKthemodemdesignbasedonFPGAAbstract:Withtherapiddevelopmentofscienceandtechnology,municationsystem,,digitalsignaltohighfrequencycarriermodulationandmakeitasignalfrequencyband,andlaterinthereceiverdemodulation,torestoretheoriginaldigitalsignaltothecarriercontrol,youcanuseamplitudemodulationAmplitudeShiftKeying(ASK).FieldProgrammableGateArray(FPGA)municationrealizeduniversalapplication,usingFPGAperformanceissuperior,convenienttouse,canrealizethesimplificationofamplitudemodulationanddemodulationcircuitdesign,:asimpleperipheralcircuit,highefficiency,fastimplementation,,thispaperfocusesontheuseoftheVHDLlanguageandASKrelatedknowledgeoflearning,,andgetthecorrespondingmodulationsignalanddemodulationsignal, words:FPGA ; ASK ;VHDL ;modulator and demodulator目录 4 4通信的背景与意义 4ASK的背景与意义 4 5 6ASK调制的原理 6ASK解调的原理 7ASK的功率谱密度 9ASK系统的抗噪声性能 9 11QuartusⅡ软件 11VHDL简介 11FPGA\CPLD简介 13ASK调制与解调系统的设计流程 14基于VHDL的ASK调制系统的仿真与分析 15基于VHDL的ASK解调系统的仿真与分析 17 19参考文献 20谢辞 21附录 22附录一 22附录二 23通信的背景与意义“通信”一词按照我们传统的理解就是信息的传输[1]。通信就是为了传递消息中所包含的信息。事实上,通

1刘阳阳基于FPGA的ASK调制解调器的设计 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息