下载此文档

数字频率合成器的设计.ppt


文档分类:通信/电子 | 页数:约100页 举报非法文档有奖
1/100
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/100 下载此文档
文档列表 文档介绍
设计任务设计一个数字频率合成器,该数字频率合成器的输出信号波形为正弦波,输出信号的频率为f0=0~1 MHz, Hz,输出电压峰—峰值为Up-p=~5 V,供电电源为+5 V。第7章数字频率合成器的设计 。图中,在基本锁相环路的反馈支路中接入了具有高分频比的可变分频器,用MCU控制分频器的分频比就可得到若干个标准频率输出。为了得到所需的频率间隔,往往在电路中还加入一个前置分频器。 MCU和锁相环路相结合的实现方案电路框图晶体振荡器前置分频器M鉴相器环路压控振荡器frfr / Mf0可变分频器MCUf0 / N第7章数字频率合成器的设计1. 前置分频器分频比的确定由得, 故Δf=f0(N+1)-f0(N)=式中Δf为频率间隔。由得,若f0的范围为f0 min~f0 max,则N对应有Nmin~Nmax。 MCU和DDS芯片相结合的实现方案DDS的基本原理是利用采样定理,通过查表法产生波形。DDS的结构有很多种,。NfMfr0?rrrfMfMNfMN11???NfMfr0?MffNr0? DDS 的原理框图相位累加器波形存储器D/A转换器低通滤波器kff0第7章数字频率合成器的设计相位累加器由N位加法器与N位累加寄存器级联构成。每来一个时钟脉冲fs,加法器将频率控制字k与累加寄存器输出的累加相位数据相加,把相加后的结果送至累加寄存器的数据输入端。累加寄存器将加法器在上一个时钟脉冲作用后所产生的新相位数据反馈到加法器的输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位累加。由此可以看出,相位累加器在每一个时钟脉冲输入时,把频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器的溢出频率就是DDS输出的信号频率。第7章数字频率合成器的设计用相位累加器输出的数据作为波形存储器(ROM)的相位取样地址,这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查表查出,完成相位到幅值的转换。波形存储器的输出送到D/A转换器,D/A转换器将数字量形式的波形幅值转换成所要求合成频率的模拟信号。低通滤波器用于滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。。 单片机与AD9835接口电路设计1. AD9835原理及结构1) DDS工作原理

数字频率合成器的设计 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数100
  • 收藏数0 收藏
  • 顶次数0
  • 上传人分享精品
  • 文件大小0 KB
  • 时间2016-02-27