下载此文档

数字电路课程设计--八路彩灯.doc


文档分类:办公文档 | 页数:约13页 举报非法文档有奖
1/13
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/13 下载此文档
文档列表 文档介绍
\J 西安郵電學院数字电路课程设计报告书题目:多路彩灯控制系统实****报告一、课程设计题目多路彩灯控制器实验目的:1:熟悉几种常用集成数字芯片,并掌握其工作原理。2:熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。3:了解一般科学实验的基本步骤,学会用科学精神去处理实际问题。4:初步培养了科学严谨的工作作风和实事求是的工作态度。5:会合理布线和调配,布线完成后会用万用表等工具来查找错误。6:增强动手实践能力;任务:设计一个8路彩灯控制器:;。;4:用可编程的单片机实现三种花型以及频率的更替;要求:;,用的器件越少越好;,所加电压不能太高,以免烧坏芯片和面包板。二、总体方案设计所需元件:74LS161(四位二进制同步计数器)----------------------2个;74LS194(移位寄存器)------------------------------4个;74LS08(四2输入与门)----------------------------1个;74LS32(四2输入或门)------------------------------------1个74LS04(六非门)------------------------------------2个;555(脉冲发生器)-----------------------------------------1个;发光二极管---------------------------------------------16个;电容:---------------------------------------1个;--------------------------------------1个;电阻:150kΩ--------------------------------------------------1个;-----------------------------------1个;100Ω---------------------------------------1个;面包板一个;导线若干。工具:万用表一个;钳子一个。三种花型设计花型1:8路灯分两半。从左至右渐亮,全亮后,再分两半从左至右渐灭。循环两次;花型2:从中间到两边对称地逐次渐亮,全亮后仍由中间到两边逐次渐灭。循环两次;花型3:从左至右顺次渐亮。全亮后逆序渐灭。循环两次。三、总体方案彩灯控制系统应该要有信号的输入,然后还要通过161控制花型和节拍,151和74控制输出地频率(节拍变化由151八选一数据选择器完成,节拍的快慢变化可有74双上升沿D触发器完成,它可实现二分频),还要通过194来控制LED的信号输出。而为了实现花型频率的自动改变,就要在161到达64拍的时候自动的将信号反馈给节拍控制器,所以总体的方案为:四、主要单元电路的基本原理:1、时钟产生电路本课题要求用单一频率的节拍显示彩灯花型,因此,时钟产生电路只需要产生一种节拍。故用555来实现2、花型演示电路由二片移位寄存器194实现。其八个输出信号端连接八个发光二极管,用其输出信号控制发光二级管的亮灭实现花型演示。而花型之间的变化通过花型控制电路的输出即161级联的计数器输出控制(它们由同一个CP脉冲控制)。三种花型:移存器输出状态编码表节拍序号花型1花型2花型3123456789101**********要用194实现三个花型的连续显示必须对两片194的S1、S0和SL、SR一句节拍的变化进行相应的改变,通过161的输出反馈来控制经过观察每16个CP低位片输出Q1—Q4变化比较频繁,根据变化的花型频率选用高位片的Q5—Q6去控制194的SL、SR、S1、S0的变化从而实现滑行的变化。现将两片194分为低位片1和高位片2,再将其输出端从低位到高位记为L1~L8。列出各花型和其对应的194的S1、S0、SL、SR的输入信号及节拍控制信号列表如下:(用^Li表示Li的取非)花型低位片节拍控制信号SLSRS1S0SLSRS1S0QEQF1X^L801X^L801002^L8X10X^L801103X^L801XL40101X^L801xL40111列出卡诺图分析194低位片QEQF01QEQF010X^L80011XX100SL=^L8 S1=QE.^QFQEQF01QEQF010^L8X0101^L8^L8111SR=^L8 S0=^(QE.^QF)194高位片QEQF01QEQF010XX0^L8^L81XX1L4XL4SL=X SR=^Q

数字电路课程设计--八路彩灯 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数13
  • 收藏数0 收藏
  • 顶次数0
  • 上传人rdwiirh
  • 文件大小586 KB
  • 时间2020-04-26