下载此文档

VHDL高级设计技术.ppt


文档分类:通信/电子 | 页数:约102页 举报非法文档有奖
1/102
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/102 下载此文档
文档列表 文档介绍
-本章概要本章首先介绍基于Xilinx芯片的HDL高级设计技术。在高级设计技术中主要对提高HDL性能的一些设计方法进行了比较详细的介绍,其中包括逻辑复制和复用技术、并行和流水技术、系统同步和异步单元、逻辑结构的设计方法和模块的划分原则。本章也对IP核技术进行了比较详细的说明和介绍,其中包括IP核分类、IP核优化、IP核生成和IP应用技术。这部分虽然在本书中的篇幅不是很多,但是内容非常重要,读者在学****该部分内容时要仔细的领会。VHDL高级设计技术-VHDL代码风格VHDL代码风格是指两个方面的内容:一方面是VHDL语言描述规范,即在使用VHDL语言描述逻辑行为时必须遵守VHDL语言的词法和句法规范,该描述风格不依赖于EDA软件工具和可编程逻辑器件PLD类型,仅仅是从VHDL语言出发的代码风格;另一方面则是VHDL语言对于一特定逻辑单元的描述,即用VHDL语言的哪一种描述风格进行逻辑行为描述,才能使电路描述得更准确,布局布线后产生的电路设计最优,该描述风格不仅需要关注EDA软件在语法细节上的差异,还要紧密依赖于固有的硬件结构。VHDL高级设计技术-VHDL代码风格从本质上讲,使用哪种描述风格描述电路的逻辑行为,主要取决于两个关键问题:1、速度和面积问题;2、功耗问题;VHDL高级设计技术-VHDL代码风格首先,先说明速度和面积问题。这里的“面积”主要是指设计所占用的FPGA逻辑资源数目,即所消耗的触发器和查找表数目。“速度”是指在芯片上稳定运行时所能够达到的最高频率。面积和速度这两个指标始终贯穿着PLD的设计,是评价设计性能的最主要标准。VHDL高级设计技术-VHDL代码风格面积和速度呈反比关系。如果要提高速度,就需要消耗更多的资源,即需要更大的面积;如果减少了面积,就会使系统的处理速度降低。所以在设计中不可能同时实现既显著提高PLD工作频率,又显著减少所占用PLD的逻辑资源的数目。VHDL高级设计技术-VHDL代码风格在实际设计时,需要在速度和面积之间进行权衡,使得设计达到面积和速度的最佳结合点。本章介绍通过采用逻辑复制和复用技术、并行和流水线技术、同步和异步电路处理技术、逻辑结构处理技术等方法,在速度和面积之间进行权衡,达到最佳的性能和资源要求。VHDL高级设计技术-VHDL代码风格其次,说明功耗问题。随着PLD工作频率的显著提高,功耗成为一个引起EDA设计人员密切关注的问题。由于PLD工作频率的提高,逻辑单元的切换频率也相应提高,相应的会引起PLD功耗增大。这样就存在着频率和功耗之间的矛盾,因此必须在逻辑单元的切换速度和功耗之间进行权衡,通过合理的设计,减少逻辑单元不必要的切换,这样可以在一定程度上降低功耗。VHDL高级设计技术-扇出扇出是指某一器件的输出驱动与之相连的后续器件的能力。众所周知,一个器件的扇出数是有限制的。扇出数目越多,所要求的驱动能力越高。在PLD芯片内,如果一个逻辑单元的扇出数过多的话,会降低其工作速度,并且会对布线造成困难。因此,在PLD逻辑资源允许的情况下,要尽量降低扇出数。VHDL高级设计技术-逻辑复制逻辑复制是通过增加面积而改善设计时序的优化方法,经常用于调整信号的扇出。如果信号具有高的扇出,则要添加缓存器来增强驱动能力,但这会增大信号的时延。通过逻辑复制,使用多个相同的信号来分担驱动任务。这样,每路信号的扇出就会变低,就不需要额外的缓冲器来增强驱动,即可减少信号的路径延迟。

VHDL高级设计技术 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数102
  • 收藏数0 收藏
  • 顶次数0
  • 上传人1017848967
  • 文件大小1.07 MB
  • 时间2020-07-10