下载此文档

现代电子技术综合实验报告-VHDL.ppt


文档分类:高等教育 | 页数:约62页 举报非法文档有奖
1/62
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/62 下载此文档
文档列表 文档介绍
案例模16计数器输入48M,输出用数码管显示分频模16译码模2多选P1P2P4P5P348MHzCLK10HZ库、程序包实体(Entity)结构体(Architecture)进程或其它并行结构配置(Configuration)外部与内部:ponentportsportslibraryIEEE;;;;entitycount10isport(clk:instd_logic;dout:outstd_logic_vector(6downto0);dclk1:outstd_logic);endcount10;实体声明端口模式:IN、OUT、INOUT、BUFFER位(bit)bit表示一位的信号值。放在单引号中,如‘0’或‘1’。variablea,b:bit:=‘0’;位矢量(bit_vector)bit_vector是用双引号括起来的一组位数据。constantdata:bit_vector(3downto0):=“1010”整数(integer)可实现的整数范围为:-(231-1)to(231-1)VHDL综合器要求对具体的整数作出范围限定,signalcount:integerrange0to15:=0;信号count将被综合成由四条信号线数据类型标准逻辑位与矢量:std_logic,std_logic_vector类型为九值逻辑,如下:(‘U’,‘X’,‘0’,‘1’,‘Z’,‘W’,‘L’,‘H’,‘-’)‘U’:未初始化的,‘X’:强未知的,‘0’:强0,‘1’:强1,‘Z’:高阻态,‘W’:弱未知的,‘L’:弱0,‘H’:弱1,‘-’:忽略结构体(由并发语句构成)Architecture并发语句并发语句信号信号信号并发语句Architecture并发语句并发语句信号信号信号并发语句libraryIEEE;;;;entitycount16isport(clk:instd_logic;dout:outstd_logic_vector(6downto0);sel:outstd_logic_vector(2downto0);ncs:outstd_logic);endcount16;architectureBehavioralofcount10is signalclk1k,clk10hz:std_logic:=‘0’; signalu,v,w:integerrange0to9:=0; signals:integerrange0to7:=0;设计输入举例begint1:process(clk)variableq:integerrange1t02400000:=0;variablep:integerrange1t024000:=0;begin ifclk'eventandclk='1'then ifq=2400000then q:=1;clk10hz<=notclk10hz; else q:=q+1; endif;ifp=24000then p:=1;clk1k<=notclk1k; else p:=p+1; endif;endif;endprocess;

现代电子技术综合实验报告-VHDL 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数62
  • 收藏数0 收藏
  • 顶次数0
  • 上传人rovend
  • 文件大小595 KB
  • 时间2020-07-26