IEC TS 61400-23-2001 Full-scale structural testing of rotor blades.pdf


文档分类:行业资料 | 页数:约70页 举报非法文档有奖
1/70
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/70
文档列表 文档介绍
IIR 滤波器的设计与仿真——项目经验分享研发中心-预研部-仿真室预研部-仿真室 2017-1-9 主要内容项目背景: IIR vs. FIR 1 1 IIR 滤波器的设计思路 2 2设计经验及性能折衷 3 3现有问题总结及下一步研究方向 4 4 预研部-仿真室 2017-1-9 项目背景? FIR vs. IIR : –数字滤波器的时域响应特征; – FIR :线性相位、 EVM 恶化量少;滤波器阶数较高、占用硬件资源、增加滤波器延时; – IIR :相对阶数小、延时低;通带内相位非线性、明显的群时延抖动、恶化 EVM 指标。?本项目希望找到一种 IIR 滤波器设计方法: –应用于通信系统基带信号成型滤波; –满足系统、测试标准所需要的幅频响应和 EVM 性能; –尽可能的降低滤波器的时延和硬件实现难度。预研部-仿真室 2017-1-9 FIR 滤波器的设计方法 FIR 所需的幅频特性: –采样率、通带、过渡带和阻带频率; –带内波纹和带外抑制; –滤波器阶数、特性: RRC 、普通低通。 2. FIR 设计方法: – matlab : fir1 、 fir2 、 rcosfir 、 rcosine 等; – matlab : FDATool 滤波器设计工具; –其他 FIR 专用设计软件: ScopeFIR 等。 3. FIR 设计优化: 阶数、时延幅频特性预研部-仿真室 2017-1-9 本项目 IIR 滤波器的设计思路?利用 IIR 代替 FIR 的基本理念: –牺牲通带内线性相位特性; –改善: 1)滤波器整体时延 or 2 )幅频特性。? IIR 滤波器的优化: –单级 IIR 滤波器很难满足通信系统对线性相位的要求; –必须对 EVM 指标进行改善,才有实际应用价值?两级 IIR 滤波器方案: 级联级联 IIR IIR 滤滤波器波器全通全通 IIR IIR 相位均衡相位均衡低通低通 IIR IIR 幅频特性幅频特性预研部-仿真室 2017-1-9 级联 IIR 滤波器的设计步骤最终性能验证最终性能验证两级 IIR 性能优化与折衷两级 IIR 性能优化与折衷级联 IIR 设计级联 IIR 设计滤波器需求获取滤波器需求获取主动/被动获取沟通的有效性需求所确定的设计参数幅频 IIR 相位均衡 IIR IIR 设计参数提高带外衰减减小过渡带宽降低 EVM 降低总时延验证方法验证平台化验证流程预研部-仿真室 2017-1-9 级联 IIR 滤波器的设计步骤?明确需求:仿真主要关注的技术指标?建立平台:便于开展设计与优化流程性能比较将测试平台记录的 IIR 性能与需求对比,找到下一步优化点,根据经验调整两级 IIR ,继续进行仿真测试,直至性能达到相对最优。设计、优化幅频 IIR 滤波器设计、优化相位均衡 IIR 滤波器预研部-仿真室 2017-1-9 主动性: 不是“自找麻烦”,而是提前确认关键参数,减小反复次数,节约时间。专业性: 提前调研、明确本模块的基本需求,可能关注点,为项目负责人着想。 IIR 实现性能; WCDMA 中基带成型滤波器为例; 128 阶 FIR 。?“仿真 FIR 与 IIR 的时延性能对比” 32 阶、 16 阶 FIR 为对比; IIR 优化了时延、或者幅频特性。?“要以 GSM 实际使用 FIR 为对比” ; 、衰减系数; 。?“无需按照现有 FIR 设计”需求捕获:沟通的主动性?主动性/被动性; ?主动获取的时机、方式和效果; ?本项目的经验。预研部-仿真室 2017-1-9 需求捕获:沟通的有效性?重要性: “最重视的员工/领导素质”?辩论、说服和沟通?事例一:买卖讲价?事例二:电视节目之“调解婆媳矛盾”?事例三:面试—求职者与面试官之间的沟通预研部-仿真室 2017-1-9 需求捕获—本项目的需求参数?幅频特性: 、通带、过渡带和阻带频率; ; 3. RRC/ 普通低通滤波器。?时延指标: ; FIR 方案的时延减小量。?解调 EVM 指标 EVM 性能; EVM 指标; 。

IEC TS 61400-23-2001 Full-scale structural testing of rotor blades 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数70
  • 收藏数0 收藏
  • 顶次数0
  • 上传人yixingmaob
  • 文件大小0 KB
  • 时间2016-04-08