下载此文档

实验2基于EDA动态显示电路设计.doc


文档分类:通信/电子 | 页数:约7页 举报非法文档有奖
1/7
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/7 下载此文档
文档列表 文档介绍
河南机电高等专科学校实验报告系部名称:电子通信工程系课程名称:可编程逻辑器件原理与应用实验项目:实验1:计数器设计班级:医电131学号:姓名:徐长伟实验1:计数器设计实验目的、掌握13进制计数器的工作原理及设计方法、掌握用两位数码管显示高低位的方法实验要求、掌握13进制计数器的VHDL描述方法、掌握编码器的VHDL描述语言实验原理用两个数码管,一个高位(十位),一个低位(个位),显示13进制计数器的技术方式。首先,设计好计数器的程序,然后,设计相应的编码程序对其进行编码。之后两个程序生成元件符号,相互连接起来,形成一个顶层原理图文件,对其进行编译、仿真分析等。这个顶层原理图文件就是我们这次实验所需的设计。实验步骤1、13进制计数器的程序设计程序1如下:libraryieee;;;entitycount13is port(en1,en2,res,clk:instd_logic; ca:outstd_logic; a,b:outstd_logic_vector(3downto0));endcount13;architecturertlofcount13is signalaout,bout:std_logic_vector(3downto0); signalcout,cl:std_logic;begincl<=clkwhenen2='0'elseen1; process(en1,en2,cl,res) begin if(res='0')then aout<="0000"; bout<="0000"; cout<='0';—复位信号为低电平,复位 elsif(cl'eventandcl='1')then if(bout=1)then if(aout>2)then aout<="0000"; bout<="0000"; cout<='1';—计数器计到12时,进位输出高电位,重新开始计数 else aout<=aout+1; endif; else if(aout=9)then aout<="0000"; bout<=bout+1;—个位计到9时,十位进位 else aout<=aout+1; cout<='0'; endif; endif; endif; endprocess;a<=aout;b<=bout;ca<=cout;endrtl;编码器的程序设计程序2如下:libraryieee;;entitydispis port(d:instd_logic_vector(3downto0); q:outstd_logic_vector(6downto0));enddisp;architecturertlofdispisbegin process(d) begin casedis when"0000"=>q<=""; when"0001"=>q<=""; when"0010"=>q<=""; when"0011"=>q<=""; when"0100"=>q<=""; when"0101"=>q<=""; when"0110"=>q<=""; when"0111"=>q<=""; when"1000"=

实验2基于EDA动态显示电路设计 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数7
  • 收藏数0 收藏
  • 顶次数0
  • 上传人rdwiirh
  • 文件大小185 KB
  • 时间2020-09-25