下载此文档

FPGA—约翰逊计数器.docx


文档分类:通信/电子 | 页数:约6页 举报非法文档有奖
1/6
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/6 下载此文档
文档列表 文档介绍
约翰逊计数器实验目的1熟悉ISE软件的使用;2、 掌握用原理图设计数字电路的办法;3、 掌握利用层次结构描述法设计电路;实验原理1、约翰逊计数器(扭环计数器)是一种环形计数器,其原理框图如下所示:務人端~FCP-^—Ft-Lr图i约翰逊计数器原理框图它由一个移位寄存器和一个组合反馈逻辑电路闭环构成, 扭环计数器是将移位寄存器最后一级的输出取反后反馈到第一级输入端而构成的。2、本次设计一共有八个端口:一个时钟输入 CLK三个控制输入端:左移控制端LEFT右移控制端RIGHT和停止控制端STOP控制信号都是低电平有效;四个计数输出端:q(0)~q(3)。输入端口引脚接目标板的四个按键,输出端口引脚接目标板的四个LED三、实验步骤1、原理图设计,新建工程然后用原理图设计的方式设计约翰逊计数器。图2新建原理图工程SR4CLEDFJKC图3约翰逊计数器电路原理图画出的电路图如图3所示。2、对设计进行综合,如出现错误请按照错误提示进行修改。Processed!^topjvatch迟DesignSummary/ReportsH 护 DesignUtilities国 $ U^erConstraintsLt D© Synthe^ize-XST+ fiQ ImplemertDesignf〕 GenerateProgramrningFile1+耍ConficureTargetDevict硏Analyze UsingChipSc..<图4综合3、添加测试文件,进行电路仿真。图5建立激励文件激励文件的设计可以分别在stop信号有效与无效的时候进行左移或右移操作,来验证设计的正确性。4、根据板子锁定引脚,并生成下载文件。本次试验中,输入端口LEFT,RIGHTSTOPCLK信号分别用一个拨动开关来产生,分别为端口p20,p26,p32,p54。数据输出用LED灯显示,q[0]~q[3]分别对应端口p22,p23,p24,p25。在实验板上,拨动开关在上面是低电平,在下面是高电平。低电平时LED灯发光,高电平时不发光。生成下载文件。DesignSummar//ReportsDesignUtilitiesUserCofi^traintESynthesise-mplem电ntDesignGenerateProgrammingFile匚onfigureTargetDZ匚uAnalyzeDecigriUdngChipSr...图6生成下载文件四、实验结果及分析1仿真波形:Name卩・50ns 110^ 砧 I1S0 II2C0 1250 els1 1 1 1 1 1 1 11I1 h 1 1 II 1 h 1 a 1 1 I卜弔护4DUXXacaixmi'. XULEFT1114RChT1I 15STOP1©CLK1-rururLTLrLnLru-LrLJTTmj^Lr图7仿真时间0ns~250ns由图形可以看出此时STOP信号为低电平即无效,由于是时序仿真所以在LEFT信号有效后,输出数据开始移动,并且移动正确。图8仿真时间250ns~500ns从图中不难看出,在STOP信号有效时,输出数据不变,即是停止移动2、结果分析:本次设计为4位约翰逊计数器,通过仿真波形可知 LEFT控制端控制寄存器向左移位,RIGHT控制端控制寄存器向右移位,并将最后一位输出取反后输入到第一位。所以设

FPGA—约翰逊计数器 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数6
  • 收藏数0 收藏
  • 顶次数0
  • 上传人dlmus1
  • 文件大小125 KB
  • 时间2020-09-28
最近更新