下载此文档

微机原理课程设计报告(电子秒表).docx


文档分类:高等教育 | 页数:约27页 举报非法文档有奖
1/27
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/27 下载此文档
文档列表 文档介绍
电子与控制工程学院计算机原理与接口技术课程设计姓 名高强强学 号3206100232专 、 电子秒表课程设计要求6位LED数码显示,计时单位为1/100秒。利用功能键进行启/停控制。其功能为:上电后计时器清0,当第一次(或奇数次)按下启/停键时开始计数。第2次(或偶数次)按下该键时停止计时,再一次按启/停键时清零后重新开始计时。二、 关键字808682558253控制字三、摘要用汇编语言设计数字秒表,用PROTUES仿真软件搭建的硬件电路上正确显示,并且具有开始停止清零功能。用8086作为处理器,,8255具备锁存和并行输出功能,驱动7段数码管正确显示,并且具有足够高的精度。。四、综合实验目的:通常,学****知识是由浅入深、由此及彼,一点点的学****和积累的,而应用知识则是综合运用所积累的知识来分析和解决实际问题、从知识的系统性来检验对各层次知识的掌握程度。综合实验的目的是让学生把理论学****和实验教学阶段所掌握的知识通过一个设计实例,经历一次理论和实践结合、软件和硬件结合的综合训练,也是一次工程实践能力的检验。这次综合实验大家应当把它作为毕业设计的预演。锻炼通过各种媒体和途径主动获取知识的能力。五、引言使用8253的通道0工作在方式0计数,,并选用二进制技术,控制字36H,计数初值500,对1MHZ的CLK计数产生2000HZ的输出脉冲给1,2通道的CLK,通道一选用二进制计数即计数结束中断方式0,,8086开始计数即毫秒位计数并显示,并与10比较,等于给分秒位加一,毫秒位置清零,分秒位与6比较若相等清零秒各位加一,秒个位与10比较若等于清零秒十位加一,秒十位与6比较若等于清零分个位加1,分个位与10比较若等于清零分十位加一,分十位与6比较若等于全部清零。1、使用各芯片的作用及工作原理1)定时器/计数器8253用系统8253定时器提供的1MHZ定时单位,设计秒表定时程序。有关系统定时方法:PC机系统中的8253定时器0工作于方式3,外部提供一个时钟作为CLK信号,频率:f=1MHz。定时器0输出方波的频率:2000Hz。定时器1输出方波100HZ,输出方波周期Tout=1/100=1ms 。8253A每隔1ms引起一次中断,作为定时信号。可用1ms作基本计时单位。253的引脚图及硬件连接图如下图示:D7旳D5D4D3D2D1加CLKD□UTOGATED&NDJ22423322斗215206—WRRD胃MAOCLK2OUT2GATE2CLK1□UT1GATE1«8253引脚图》硬件连接图当A1A0分别为00011011时分别选中三个通道和控制字寄存器在8088系统中,8088的A1A0分别与8253的A1A0相连在8086系统中,通常将8253的8位数据线与8086的低8位相连,即使用偶地址,所以8086的A2A1分别与8253的A1A0相连。计数器(0~2)即三个计数器/定时器通道。每个通道包括:8位控制字寄存器、16位计数初值寄存器、减一计数器和输出锁存器。作定时器用:其 CLK端上的输入脉冲应是标准的、精确的;作计数器用:对其CLK端上的脉冲计数,脉冲宽度可以不等。采用减一计数器,为 0时,从OUT端上输出一个脉冲定时时间=时钟脉冲周期X预置的计数初值。控制功能表CSRDWRA1A0功能01000写计数器001001写计数器101010写计数器201011与控制字奇存器00100读计数器000101读计数器100110读计数器200111无操作1XXXX禁止使用011XX无操作每个通道:CLK计数脉冲或标准脉冲输入端GATE允许端,当GATE=1时允许计数UT计数值为0时输出一个脉冲由于8253的读/写操作对系统时钟没有特殊的要求,因此它几乎可以应用与由任何一种微处理器组成的系统中,可作为可编程的方波频率发生器、分频器、实时时钟、事件计数器和单脉冲发生器等。8253是一片具有三个独立的16位计数器通道的可编程定时器/计数器芯片。每个通道都可以编程设定3种工作方式之一种;8253由以下几个部分组成:数据总线缓冲器(8位、三态、双向);读/写控制逻辑;CS:片选信号,低电平有效;RD:读信号,低电平有效;WR:写信号,低电平有效A1AO:端口选择信号三个通道(0~2),由A

微机原理课程设计报告(电子秒表) 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数27
  • 收藏数0 收藏
  • 顶次数0
  • 上传人zhuwo11
  • 文件大小959 KB
  • 时间2020-09-30