下载此文档

时序逻辑电路 (2).pptx


文档分类:通信/电子 | 页数:约102页 举报非法文档有奖
1/102
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/102 下载此文档
文档列表 文档介绍
教学基本要求
2、熟练掌握时序逻辑电路的分析方法
1、熟练掌握时序逻辑电路的描述方式及其相互转换。
3、熟练掌握时序逻辑电路的设计方法
4、熟练掌握典型时序逻辑电路计数器、寄存器、移位
寄存器的逻辑功能及其应用。
5、正确理解时序可编程器件的原理及其应用。
6、学会用Virelog HDL设计时序电路及时序可编程逻辑
器件的方法。
时序逻辑电路的基本概念
时序逻辑电路的模型与分类
时序电路逻辑的表达
时序逻辑电路的基本概念
时序逻辑电路的模型与分类
 组合电路与时序电路的区别

电路的输出
只与电路的输入有关,
与电路的前一时刻的状态无关。

电路在某一给定时刻的输出
不仅取决于该时刻电路的输入
还取决于前一时刻电路的状态
由触发器保存
时序电路:
组合电路
+
触发器
电路的状态与时间顺序有关
时序逻辑电路的模型与分类
1. 时序电路的一般化模型
*电路由组合电路和存储电路组成。
*电路存在反馈。
结构特征:
输出方程: O=f1(I,S)
激励方程: E=f2(I,S)
状态方程 : Sn+1=f3(E,Sn)
表达输出信号与输入信号、状态变量的关系式。
表达了激励信号与输入信号、状态变量的关系式。
表达存储电路从现态到次态的转换关系式。
2、异步时序电路与同步时序电路
时序电路
同步:
存储电路里所有触发器有一个统一的时钟源,它们的状态在同一时刻更新。
异步:
没有统一的时钟脉冲或没有时钟脉冲,电路的状态更新不是同时发生的。
CP
X

1J
C1
1K
=1
“1”
&
Q0
FF0
FF1
Q0
1J
C1

1K
Q1
Q1
Y

1D
Q0
FF0
FF1
Q1
Q0
&
Z
CP
1D
Q1

①输出方程
②激励方程组
③状态方程组
(1)根据电路列出三个方程组
时序电路功能的表达
1D
C1
&

1
&
D0
FF
0
&
1
1D
C1
FF
1
Y
A
CP
D1
Q0
Q0
Q1
Q1

电路的逻辑功能
解:
状态转换真值表
输出方程
状态方程组
(2)根据方程组列出状态表
0
1
0
0
0
1
0
0
Y
0
0
1
0
1
0
1
0
0
0
0
1
0
1
0
1
A
0
1
0
1
0
1
0
1
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
将状态转换真值表转换为状态表
状态表
A=0
Q1n+1Q0n+1/ Y
Q1nQn0
0 0
0 0 / 0
1 1
1 0
0 1
0 0 / 1
0 0 / 1
0 0 / 1
1 0 / 0
0 1 / 0
1 1 / 0
0 1 / 0
A=1
0/0
1/0
0/1
1/0
0/1
1/0
0/1
1/0
(3)根据状态表画出状态图
Q1Q0
A/Y
状态表
A=0
Q1n+1Q0n+1/ Y
Q1nQn0
0 0
0 0 / 0
1 1
1 0
0 1
0 0 / 1
0 0 / 1
0 0 / 1
1 0 / 0
0 1 / 0
1 1 / 0
0 1 / 0
A=1
(4) 时序图
时序逻辑电路的四种描述方式是可以相互转换的。

时序逻辑电路 (2) 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数102
  • 收藏数0 收藏
  • 顶次数0
  • 上传人wz_198613
  • 文件大小6.82 MB
  • 时间2020-12-04