下载此文档

可编程逻辑器件及EDA技术实验报告.doc


文档分类:通信/电子 | 页数:约25页 举报非法文档有奖
1/25
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/25 下载此文档
文档列表 文档介绍
可编程逻辑器件及EDA技术实验报告
一、组合逻辑电路设计
数字逻辑电路按照逻辑功能的特点分为两类,一类是组合逻辑电路,简称为组合电路;另一类是时序逻辑电路,简称为时序电路。组合电路的特点是电路任意时刻输出状态只取决该时刻的输入状态,而与该时刻钱的电路状态无关。
1、逻辑门电路设计
实验原理:逻辑门电路包括基本逻辑门电路和符合逻辑门电路。VHDL语言可以直接支持的逻辑运算符共有七种逻辑运算,它们是:
NOT 逻辑非 AND 逻辑与
NAND 逻辑与非 OR 逻辑或
NOR 或非 XOR 异或
XNOR 异或非
实验内容:例3-2的参考程序:
library ieee;
use ;
use ;
use ieee. ;
entity example3_2 is
port(a,b,c,d:in std_logic;
f:out std_logic_vector(3 downto 0));
end example3_2;
architecture behavioral of example3_2 is
begin
f(0)<=(a and b)or(b and c)or(not b and not c);
f(1)<=(a and b and c)or not(not a or not b or not c);
f(2)<=(a xor b xor c)or(not(d)and(a or c));
f(3)<=not ((a and b)xor (c and d))or((a and b and d)xor(b and c and d));
end behavioral;
实验分析:用逻辑运算符是实现了相对较为复杂的逻辑运算。参考程序中使用括号来强制控制逻辑运算的优先级,对于用VHDL设计,这种写法是必修的。用这种方法可以简单、快捷地完成逻辑电路设计。
电路结构图:
实验波形仿真如下图:
2、常用编码器设计
编码是指用文字、符号和数码等来表示某种信息的过程。在数字系统中,由于采用二进制运算来处理数据,因此通常是将信息编成若干位二进制代码,而在逻辑电路中,信号都是以高、低电平的形式给出的。实现编码的数字电路称作编码器(encoder),编码器的逻辑功能就是把输入的每一个高低电平信号编成一组对应的二进制代码。
实验原理:根据8线-3线优先编码器的真值表可得,优先编码器的编码输入、编码输出均为低电平有效,且有使能输入和使能输出功能。
实验内容:-3线优先编码器,编码器输出为反码输出。
它的程序如下:
library ieee;
use ;
use ;
use ;
entity example3_4 is
port(sin:in std_logic;
i:in std_logic_vector(7 downto 0);
a:out std_logic_vector(2 downto 0);
e,s:out std_logic);
end example3_4;
architecture behavioral of example3_4 is
begin
process(sin,i)
begin
if sin='1' then
a<="111";e<='1';s<='1';
else
if i(7)='0' then
a<="000";e<='0';s<='1';
elsif i(6)<='0' then
a<="001";e<='0';s<='1';
elsif i(5)<='0' then
a<="010";e<='0';s<='1';
elsif i(4)<='0' then
a<="011";e<='0';s<='1';
elsif i(3)<='0' then
a<="100";e<='0';s<='1';
elsif i(2)<='0' then
a<="101";

可编程逻辑器件及EDA技术实验报告 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数25
  • 收藏数0 收藏
  • 顶次数0
  • 上传人xiang1982071
  • 文件大小1.83 MB
  • 时间2021-02-16