下载此文档

循环彩灯课程设计程序.doc


文档分类:办公文档 | 页数:约10页 举报非法文档有奖
1/10
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/10 下载此文档
文档列表 文档介绍
湖南科技大学信息与电气工程学院 VHDL 课程设计报告专业: 电子信息工程班级: 一班姓名: 崔永康学号: 1104030115 指导老师: 罗朝辉课程设计任务书题目设计时间设计目的: 设计要求: 总体方案实现: 指导教师评语: 1、课程设计的目的。本设计的任务是熟悉支持 VHDL 语言的软件,如 Max Plus 2,quartus 等, 利用这一类软件使用 VHDL 语言进行设计编译仿真。本次设计的主要目的: 1 、使我们熟练掌握相关软件 Max Plus 2及quartus 的使用操作。能对 VHDL 语言程序进行编译及调试,以及通过计算机仿真,得到正确的仿真波形图, 并根据所得仿真波形图分析判断并改进所设计的电路。 2、在成功掌握软件操作基础上,让学生将所学数字电路的基础课知识与 VHDL 语言的应用型知识结合起来并与实际设计,操作联系起来,即“理论联系实际”。要求学生自主设计电路,编写程序,鼓励新思路,新方法,新观点。 3、完成可编程器件与 VHDL 语言课程设计,掌握设计语言技术的综合应用性。通过对课程的设计、仿真、调试来具体完成。 4 、熟悉系统的分析和设计方法,合理掌握选用集成电路的方法,初步接触EDA 技术,为以后本专业的学****奠定良好的基础。 2、设计方案的论证。彩灯控制器电路是整个设计的核心,它控制整个设计的输出效果也就是图案的样式变化。在电路中用 1代表灯亮,用 O代表灯灭,由 0,1按不同的规律组合代表不同的灯光图案,同时使其选择不同的频率,以实现多种图案及多种频率的花样功能显示。该程序充分证明了用 VHDL 设计电路的灵活性,即可以通过改变程序中输出变量的位数来改变彩灯的数目。彩灯控制器的第 1 种花样为彩灯从右到左,然后从左到右逐次点亮,全灭全亮,第 2 种花样为彩灯两边同时亮 1 个逐次向中间移动再散开;第3 种花样为彩灯两边同时亮 2 个逐次向中间移动再散开个花样自动变换,循环往复。3、设计仿真及结果分析。 LIBRARY IEEE; USE ; USE ; ENTITY colorlight IS PORT(clk,clr:IN STD_LOGIC; red,green,yellow:OUT STD_LOGIC); END ENTITY colorlight; ARCHITECTURE example OFcolorlight IS SIGNAL dout:STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL m:STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN red<=dout(2); green<=dout(1); yellow<=dout(0); PROCESS(clk) IS BEGIN IF(clr='1') THEN m<="001"; ELSIF(clk'EVENT AND clk='1') THEN IF(m="110") THEN m<="001"; ELSE m<=m+1; END IF; CASE mIS WHEN "001"=>dout<="100"; WHEN "010"=>dout<="100"; WHEN "011"=>dout<="100";

循环彩灯课程设计程序 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数10
  • 收藏数0 收藏
  • 顶次数0
  • 上传人6188
  • 文件大小0 KB
  • 时间2016-05-15