下载此文档

EDA八段动态数码管显示设计实验报告.docx


文档分类:通信/电子 | 页数:约9页 举报非法文档有奖
1/9
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/9 下载此文档
文档列表 文档介绍
第十周动态数码管显示设
计报告

号:
姓 名:

业:

级:
指导教师:
2012年11月8日
目录
EDA 技 术 及 应 用 》 课 程 设 计 任 务
书 3
实验目
的 5
设计要
求 5
实验设
备 5
扫描原
理 5
设计任
务 6
实验程
序 6
时序仿真波形
图 7
仿真结
果 8
模拟电
路 8
设计总
结 8
课程
设计评
《EDA技术及应用》课程设计任务书
一、 设计题目
动态数码管显示设计
二、 设计主要内容
本课题要求掌握使用 Quartus II 设计数字系统的设计思路和设计方法。学****VHDL基本逻辑电路的综合设计应用。掌握VHDL语言的语法规范,掌握时序电 路描述方法。掌握多个数码管动态扫描显示的原理及设计方法。
设计一个八位数码管共阴极动态扫描显示控制电路, 要求显示学生自己的学 号。利用实验室设备完成系统设计并进行运行调试。
1、具体设计内容如下:
(1)静止显示学号;
(2)动态循环显示学号。
2、提供设计报告,报告要求包括以下内容:设计思路、设计输入文件、 设计与调试过程、模拟仿真结果和设计结论。
三、 原始资料
1、LED显示模块原理
LED有段码和位码之分,所谓段码就是让 LED显示出“ 8. ”的八位数据,一 般情况下要通过一个译码电路,将输入的4位2进制数转换为与LED显示对应的 8位段码。位码也就是LED的显示使能端,对于共阳级的LED而言,高电平使能。 要让8个LED同时工作,显示数据,就是要不停的循环扫描每一个 LED,并在使 能每一个LED的同时,输入所需显示的数据对应的8位段码。虽然8个LED是依 次显示,但是受视觉分辨率的影响,看到的现象是 8个LED同时工作。
多个数码管动态扫描显示,是将所有数码管的相同段并联在一起, 通过选通 信号分时控制各个数码管的公共端,循环点亮多个数码管,并利用人眼的视觉暂 留现象,只要扫描的频率大于 50Hz,将看不到闪烁现象。
2、系统结构图信号名与芯片引脚对照表
硬件资源
元件
引脚
EP3C引脚序

电路使用说明
LED
数码显示
A
133
该部分电路为 固定电路。
使用LED数码 显示时请按照器件 引脚分配表进行引 脚分配后再下载到 芯片中。
B
135
C
136
D
137
E
138
F
141
G
142
Dp
128
74LS138
S1
125
S2
126
S3
127
3、课程设计使用设备
(1) EDA及SOP(综合实验平台;
(2) 导线若干;
(3) PC机;
(4) Quartus II开发工具软件。
四、要求的设计成果
(1)根据控制要求设计硬件电路原理图
编写用于系统仿真的VHDL源程序。
( 3)系统仿真及验证结果。
(4)编写《EDA技术及应用课程设计》报告,课程设计报告内容包括:
设计方案、课程设计过程和设计思想、方法、原理。
画出系统的原理图。
VHDL语言程序及仿真波形。
参考资料、参考书及参考手册。
其他需要说明的问题,例如操作说明、程序的调试过程

EDA八段动态数码管显示设计实验报告 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数9
  • 收藏数0 收藏
  • 顶次数0
  • 上传人kunpengchaoyue
  • 文件大小83 KB
  • 时间2021-04-12