下载此文档

Verilog-HDL4-7-分频代码.doc


文档分类:IT计算机 | 页数:约3页 举报非法文档有奖
1/3
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/3 下载此文档
文档列表 文档介绍
精品文档,仅供学****与交流,如有侵权请联系网站删除
【精品文档】第 1 页
四分频
module quarter_clk(reset,clk_in,clk_out);
input clk_in,reset;
output clk_out;
reg clk_out;
reg [4:0]count;
always@(posedge clk_in)
begin
if(!reset)
clk_out=0;
else
if (count<1)
begin
count<=count+1;
end
else
begin
count<=0;
clk_out=~clk_out;
end
end
endmodule
仿真
`define clk_cycle 50
module test_quarter_clk;
reg clk,reset;
wire clk_out;
always
#`clk_cycle clk=~clk;
initial
begin
clk=0;
reset=1;
#100 reset=0;
#100 reset=1;
#10000 $stop;
end
quarter_clk quarter_clk1(reset,clk,clk_out);
endmodule
精品文档,仅供学****与交流,如有侵权请联系网站删除
【精品文档】第 2 页
7分频
module div7(rst,clk,cout1,cout2,cout);
input clk,rst;
output cout1,cout2,cout;
reg [2:0] m,n;
wire cout;
reg cout1,cout2;
assign cout=cout1|cout2;
always @(posedge clk)
begin
if(rst) begin cout1<=0;m<=0;end
else if(!rst) begin if(m==6) begin m<=0;end
else m<=m+1; if(m==2) cout1=~cout1;
else if(m==5) cout1=~cout1;
end
end
always @(negedge clk)
begin
if(rst) begin cout2<=0;n<=0;en

Verilog-HDL4-7-分频代码 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数3
  • 收藏数0 收藏
  • 顶次数0
  • 上传人neryka98
  • 文件大小14 KB
  • 时间2021-12-03