下载此文档

vhdl--EDA--五人表决器.docx


文档分类:IT计算机 | 页数:约6页 举报非法文档有奖
1/6
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/6 下载此文档
文档列表 文档介绍
精品文档,仅供学****与交流,如有侵权请联系网站删除
【精品文档】第 1 页
五人表决器
学院:信息电子技术学院
班级:通信工程一班
一、设计目的:
1,学****使用EDA开发工具MAX+plusII。
2,学****使用VHDL语言设计五人多数表决器。
3,熟悉五人多数表决的原理。
二、计任务:
设计一个五人多数表决器。
三、计要求:
1)  五人多数表决逻辑:多数通过;
2)  在主持人控制下,10秒内表决有效;
3)  采用数码管显示表决10秒倒计时;
4)  表决结束后用发光二极管结果形式:通过,不通过;
5) 设主持人控制键,复位键:
        控制键:启动表决;
        复位键:系统复位。
精品文档,仅供学****与交流,如有侵权请联系网站删除
【精品文档】第 2 页
四、任务分析:
五人多数表决,只要在规定时间内,赞***数大于或等于三,则表决通过。因此,只需将每位表决人的结果相加,判断结果值。设五个开关作为表决器的五个输入变量,输入变量为逻辑“1”时,表示表决者“赞成”;输入变量为“0”时,表示表决者“不赞成”。输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”。当表决器的五个输入变量中有3个以上(含3个)为“1”时。则表决器输出为“1”;否则为“0”。
五、管脚说明:
FF:一维数组FF用来表示五位表决者;
QQ:表决最终是否通过(‘1’为“通过”,‘0’为“未通过”);
QALL:表决通过的人数;
SHIJIAN:用来倒计时;
SHUMAGUAN:用来显示时间;
FUWEI:主持人复位键,用来系统复位;
KAISHI:主持人控制键,用来启动表决;
CLK:系统时钟。
六、源程序:
library ieee;
use ;
use ;
use ;
精品文档,仅供学****与交流,如有侵权请联系网站删除
【精品文档】第 3 页
ENTITY BIAOJUE IS
PORT( FF:IN STD_LOGIC_VECTOR(1 TO 5);
QQ:OUT BIT;
QALL:OUT STD_LOGIC_VECTOR(3 DOWNTO 1);
SHUMAGUAN:OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
SHIJIAN:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);
FUWEI,KAISHI,CLK:IN STD_LOGIC);
END BIAOJUE;
ARCHITECTURE FUNG OF BIAOJUE IS
BEGIN
PROCESS(FF,CLK,KAISHI,FUWEI)
VARIABLE SUO:BOOLEAN;
VARIABLE SUM:STD_LOGIC_VECTOR(1 TO 3);
BEGIN
IF (FUWEI='0') THEN
QQ

vhdl--EDA--五人表决器 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数6
  • 收藏数0 收藏
  • 顶次数0
  • 上传人neryka98
  • 文件大小12 KB
  • 时间2021-12-03
最近更新