下载此文档

FPGA简单的组合逻辑电路设计实验报告.doc


文档分类:高等教育 | 页数:约7页 举报非法文档有奖
1/7
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/7 下载此文档
文档列表 文档介绍
上海电力学院
实验报告
实验课程名称: FPGA应用开发实验
实验项目名称: 简朴组合逻辑电路设计
班 级:
姓名: 学号: 成绩:________ 实验时间:
实验目
掌握组合逻辑设计办法。
掌握组合逻辑电路静态测试办法。
加深PLD设计过程,并比较原理图输入和文本输入优劣。
实验原理
依照第三章学****VHDL硬件描述语言来设计一定功能电路。
实验环节
四舍五入鉴别电路
设计一种四舍五入鉴别电路,其输入为8421BCD码,规定当输入不不大于或等于5时,鉴别电路输出为1,反之为0。
其VHDL描述语言为:
时序仿真波形为:
控灯电路
设计四个开关控制一盏灯逻辑电路,规定合任一开关,灯亮;断任一开关,灯灭。
其VHDL描述语言为:
功能仿真波形为:
时序仿真波形:
引脚分派:
程序下载:
之后在DE2上验证,实验成果与设计规定一致。
优先排队电路
设计一种优先排队电路,排队优先顺序依次为A,B,C规定输出端最高只能有一端为“1”,即只能为优先级较高输入端相应输出端为“1”。
其VHDL描述语言为:
功能仿真波形为:
时序仿真波形:
引脚分派:
程序下载:
在DE2上验证,实验成果与设计规定一致。
实验连线:
1、四位拨码开关连d0,d1,d2,d3信号相应管脚。
Out1输出信号管脚接LED灯。
2、四位按键开关分别连k0,k1,k2,k3信号相应管脚。
y输出信号管脚接LED灯。
3、a ,b ,c信号相应管脚分别连三个按键开关。
输出y1,y2,y3信号相应管脚分别连三个LED灯。
五、实验小结
通过本次实验,我掌握了组合逻辑基本设计办法。可以按照电路功能规定编写出基本VHDL硬件描述语言,能合理分派输入输出引脚,并可以在DE2上验证编写程序与否符合电路设计规定。固然,一开始又有对软件使用不纯熟,也浮现了某些问题,如引脚分派时未让输出相应LED灯,但是在自己努力和多次实验后,终于纯熟起来。

FPGA简单的组合逻辑电路设计实验报告 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息