下载此文档

数字电子技术基础试卷及答案8套.doc


文档分类:研究生考试 | 页数:约13页 举报非法文档有奖
1/13
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/13 下载此文档
文档列表 文档介绍
第 1 页
数字电子技术根底1
一.1.〔15分〕
试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0〞。
二.〔15分〕
由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时〔M1、M2取值情况如下表所示〕输出F的逻辑表达式。
八选一数据选择器输出端逻辑表达式为:Y=ΣmiDi,其中mi是S2S1S0最小项。
三.〔8分〕
试按步骤设计一个组合逻辑电路,实现语句“A>B〞,A、B均为两位二进制数,即A〔A1、A0〕,B〔B1、B0〕。要求用三个3输入端及门和一个或门实现。
四.〔12分〕
试按步骤用74LS138和门电路产生如下多输出逻辑函数。
74LS138逻辑表达式和逻辑符号如下所示。
五.〔15分〕
同步计数器的时序波形如以下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。
六.〔18分〕
按步骤完成以下两题
1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。
2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。
图5-1
图5-2
七.
八.〔10分〕 电路下如下图,按要求完成以下问题。
1.指出虚线框T1中所示电路名称.
2.对应画出VC、V01、A、B、C的波形。并计算出V01波形的周期T=?。
第 2 页
数字电子技术根底2
一.〔20分〕电路如下图,晶体管的β=100,Vbe=。
〔1〕求电路的静态工作点;
〔2〕 画出微变等效电路图, 求Au、ri和ro;
〔3〕假设电容Ce开路,那么将引起电路的哪些动态参数发生变化?并定性说明变化趋势.
二.〔15分〕求图示电路中、、、及。
三.〔8分〕逻辑单元电路符号和具有“0〞、“1〞逻辑电平输入信号X1如以下图所示,试分别画出各单元电路相应的电压输出信号波形Y1、Y2、Y3。设各触发器初始状态为“0〞态。
四.〔8分〕判断下面电路中的极间交流反应的极性〔要求在图上标出瞬时极性符号〕。如为负反应,那么进一步指明反应的组态。
〔a〕 〔b〕
五.〔8分〕根据相位平衡条件判断以下各电路能否产生自激振荡〔要求在图上标出瞬时极性符号〕。
(a) (b)
六.〔12分〕某车间有A、B、C、D四台电动机,今要求:
〔1〕A机必须开机;
〔2〕其他三台电动机中至少有两台开机。
如果不满足上述要求,那么指示灯熄灭。设指示灯熄灭为0亮为1,电动机的开机信号通过某种装置送到各自的输入端,使该输入端为1,否那么为0。试用及非门组成指示灯亮的逻辑图。
七. 〔16分〕设图示电路初始状态是“000〞,要求完成以下各问:
写出各触发器的驱动方程;
写出各触发器的状态方程;
列出状态转换表;
试分析图示电路是几进制计数器。
八.〔12分〕以下图为由555定时器构成的多谐振荡器电路。
〔1〕对应画出图中Vc和Vo的波形〔要求标出对应电压值〕;
〔2〕设图中二极管为理想器件,计算Vo波形的周期T及占空比q (%)。
第 3 页
附:
555功能表
复位端(4)
触发端(2)
阈值端(6)
放电端
(7)
输出端
(3)
0
×
×
对地短路
0
1
>1/3Vcc
>2/3Vcc
对地短路
0
1
<1/3Vcc
<2/3Vcc
对地开路
1
1
>1/3Vcc
<2/3Vcc
保持原态
保持原态
数字电子技术根底3
一.〔20分〕电路如下图,晶体管的β=100,Vbe=。
〔1〕求电路的静态工作点;
〔2〕画出微变等效电路图, 求Au、ri和ro;
〔3〕假设电容Ce开路,那么将引起电路的哪些动态参数发生变化?并定性说明变化趋势。
二.〔15分〕计算图a和图c中的UO和图b中IL的值,设所有运放均为理想运算放大器。
三.〔9分〕逻辑单元电路符号和具有“0〞、“1〞逻辑电平输入信号X1如以下图所示,试分别画出各单元电路相应的电压输出信号波形Y1、Y2、Y3。设各触发器初始状态为“0〞态。
四.〔8分〕判断下面电路中的极间交流反应的极性〔要求在图上标出瞬时极性符号〕。如为负反应,那么进一步指明反应的组态。
〔a〕 〔b〕
五.〔8分〕根据相位平衡条件判断以下各电路能否产

数字电子技术基础试卷及答案8套 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数13
  • 收藏数0 收藏
  • 顶次数0
  • 上传人1485173816
  • 文件大小1.20 MB
  • 时间2022-01-10