下载此文档

eda试题及答案.doc


文档分类:通信/电子 | 页数:约38页 举报非法文档有奖
1/38
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/38 下载此文档
文档列表 文档介绍
. 一、选择题:(20分) 1. 大规模可编程器件主要有 FPGA 、 CPLD 两类,下列对 CPLD 结构与工作原理的描述中,正确的是: ___ D __ A. CPLD 是基于查找表结构的可编程逻辑器件 B. CPLD 即是现场可编程逻辑器件的英文简称 C. 早期的 CPLD 是从 FPGA 的结构扩展而来 Xilinx 公司生产的器件中, XC9500 系列属 CPLD 结构 2. 基于 VHDL 设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种, 按照自顶向下的设计流程,其先后顺序应该是: _________ D A. ①②③④ B. ②①④③ C. ④③②① D. ②④③① 3. IP 核在 EDA 技术和开发中具有十分重要的地位, IP 分软 IP 、固 IP 、硬 IP ;下列所描述的 IP 核中,对于固 IP 的正确描述为: __________ D A. 提供用 VHDL 等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路 B. 提供设计的最总产品——模型库 C. 以可执行文件的形式提交用户,完成了综合的功能块 D. 都不是 4. 下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的: __________ B A. 原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计 B. 原理图输入设计方法一般是一种自底向上的设计方法 C. 原理图输入设计方法无法对电路进行功能描述 D .原理图输入设计方法不适合进行层次化设计 VHDL 语言中, 下列对进程( PROCESS ) 语句的语句结构及语法规则的描述中, 不正确的是: _______ D A. PROCESS 为一无限循环语句 B. 敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动 C. 当前进程中声明的变量不可用于其他进程 D. 进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成 6. 对于信号和变量的说法,哪一个是不正确的: _________ A A .信号用于作为进程中局部数据存储单元 B .变量的赋值是立即完成的 C .信号在整个结构体内的任何地方都能适用 D .变量和信号的赋值符号不一样 7. 下列状态机的状态编码, _________ 方式有“输出速度快、难以有效控制非法状态出现”这个特点。 A A .状态位直接输出型编码 B .一位热码编码 C .顺序编码 D .格雷编码 8. VHDL 语言共支持四种常用库,其中哪种库是用户的 VHDL 设计现行工作库: _______ D A. IEEE 库 B. VITAL 库 C. STD 库 D. WORK 工作库 9. 下列 4个 VHDL 标识符中正确的是: _______ B A. 10#128# B. 16#E#E1 C. 74HC124 D. X_16 10 .下列语句中,不属于并行语句的是: _______ B A. 进程语句 B. CASE 语句 C. 元件例化语句 D. WHEN … ELSE …语句二、 EDA 名词解释( 10分) 写出下列缩写的中文(或者英文)含义: 1. ASIC 专用集成电路 2. FPGA 现场可编程门阵列 3. IP 知识产权核(软件包) 4. JTAG 联合测试行动小组 5. HDL 硬件描述语言. 三、 VHDL 程序填空:(10分) 以下程序是一个 BCD 码表示 0~ 99 计数器的 VHDL 描述,试补充完整。 library ieee; use ; use ; t100b is port( clk, rst, en :in std_logic; cq :out std_logic_vector(7 downto 0); -- 计数输出 cout: out std_logic); -- 进位输出 end t100b; architecture bhv t100b is begin process (clk, rst, en) variable cqi :std_logic_vector(7 downto 0); begin if rst ='1' then cqi := (others => ‘0’);-- 计数器清零复位 else if clk ’ event and clk =‘1’then -- 上升沿判断 if en ='1' then if cqi(3 downto 0) <"1001" then -- 比较低 4位 cqi := cqi +1;-- 计数加 1 else if cqi(7 downto 4) <"1001" then --

eda试题及答案 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数38
  • 收藏数0 收藏
  • 顶次数0
  • 上传人rovend
  • 文件大小5.71 MB
  • 时间2016-08-31