下载此文档

多路复用器与比较器的设计与仿真.doc


文档分类:汽车/机械/制造 | 页数:约6页 举报非法文档有奖
1/6
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/6 下载此文档
文档列表 文档介绍
多路复用器与比较器的设计与仿真
一、实验内容
,用VHDL语言设计四选一多路复用器;
(比较器)进行仿真与分析;用VHDL语言设计4位比较器,接着进行仿真与分析,电路逻辑结构参照芯片74x85。
二、实验要求
在文本编辑区使用VHDL硬件描述语言设计逻辑电路,再利用波形编辑区进行逻辑功能仿真,以此验证电路的逻辑功能是否正确,最后在实验箱上进行下载验证。
实现及仿真波形
74153:4选1多路复用器(4 to 1 Multiplexer),又叫4选1数据选择器
用途:可以对多个输入信号进行选择。电视机里的频道转换开关就是一个多路开关。
逻辑框图
逻辑功能表
Inputs
Outputs
Select
Strobe
G
Y
B A
X X
1
0
0 0
0
C0
0 1
0
C1
1 0
0
C2
1 1
0
C3
逻辑图
VHDL代码
波形仿真
:4位大小比较器(4-Bit parator)
逻辑框图
逻辑功能表

VHDL代码
波形仿真
心得体会
每次都能学到一点新东西,而且像这种直接给出真值表的,可以根据真值表用if语句来写。

多路复用器与比较器的设计与仿真 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数6
  • 收藏数0 收藏
  • 顶次数0
  • 上传人fxl8
  • 文件大小0 KB
  • 时间2014-10-15